KR100754243B1 - 반도체 제조설비의 진공 장치 - Google Patents

반도체 제조설비의 진공 장치 Download PDF

Info

Publication number
KR100754243B1
KR100754243B1 KR1020060015399A KR20060015399A KR100754243B1 KR 100754243 B1 KR100754243 B1 KR 100754243B1 KR 1020060015399 A KR1020060015399 A KR 1020060015399A KR 20060015399 A KR20060015399 A KR 20060015399A KR 100754243 B1 KR100754243 B1 KR 100754243B1
Authority
KR
South Korea
Prior art keywords
exhaust line
unit
chamber
throttle valve
process chamber
Prior art date
Application number
KR1020060015399A
Other languages
English (en)
Other versions
KR20070082613A (ko
Inventor
김경태
최철환
최준우
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060015399A priority Critical patent/KR100754243B1/ko
Priority to US11/542,108 priority patent/US20070193573A1/en
Priority to CNA2007100065951A priority patent/CN101026085A/zh
Publication of KR20070082613A publication Critical patent/KR20070082613A/ko
Application granted granted Critical
Publication of KR100754243B1 publication Critical patent/KR100754243B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 반도체 제조설비의 진공 장치에 관한 것이다. 본 발명에서는 에어 흐름이 집중되는 방향으로 배기라인이 개방되도록 하는 스로틀밸브 유닛을 형성하거나, 배기라인을 히팅할 수 있도록 하는 히팅부를 형성하거나, 수평 배기라인과 수직 배기라인을 연결하는 배기라인 꺽임부를 완만한 곡선형태로 형성함을 특징으로 한다. 그 결과, 배기라인에서의 에어 배기 효율이 향상되어 프로세스 챔버의 압력 조절이 보다 용이해지며 스로틀밸브 및 배기라인의 오염 또한 최소화할 수 있게 된다.
프로세스 챔버, 배기라인, 스로틀밸브, 헌팅, 파티클

Description

반도체 제조설비의 진공 장치{vacuum apparatus of semiconductor device manufacturing equipment}
도 1은 종래 기술에 따른 스로틀밸브 유닛에서의 유체 흐름을 설명하기 위한 반도체 제조설비의 개략구성도이다.
도 2는 본 발명에 따른 스로틀밸브 유닛이 적용되는 클러스터 타입의 반도체 제조설비를 나타낸다.
도 3은 본 발명에 따른 스로틀밸브 유닛이 적용되는 프로세스 챔버의 진공 장치를 나타낸다.
도 4는 본 발명의 제1실시예에 따른 스로틀밸브 유닛을 나타낸다.
도 5는 상기 도 4에 도시된 스로틀밸브 유닛에 의한 에어 흐름을 도식적으로 나타낸다.
도 6은 본 발명의 제2실시예에 따른 스로틀밸브 유닛을 나타낸다.
도 7은 본 발명의 제3실시예에 따른 스로틀밸브 유닛을 나타낸다.
< 도면의 주요 부분에 대한 부호의 설명 >
100: 반도체 제조설비 102: 프로세스 챔버
104: 정렬 챔버 106: 로봇암
108: 트랜스퍼 챔버 110: 슬릿밸브
112: 웨이퍼 카세트 114: 도어
116: 로드락 챔버 118: 챔버 벽
120: 상부전극 122: 샤워헤드
124: 버퍼공간 126: 가스분사홀
128: DTCU 130: 돔
132: 램프 134: RF 코일
136: 하부전극 138: 정전척
140: 클램프 링 142: 리프트 핀
144: 리프트 146: 배기라인
148: 터보 펌프 150: 게이트 밸브
152,152-1,152-2: 스로틀밸브 유닛 154: 회전축
156: 배기라인 개폐부 158: 에어
160: 배기라인 히팅부
본 발명은 반도체 제조설비에 관한 것으로서, 보다 상세하게는 배기라인의 개폐를 제어하는 스로틀밸브 유닛이 구비된 반도체 제조설비의 진공 장치에 관한 것이다.
일반적으로 반도체 디바이스는 웨이퍼 표면 상부에 절연막 또는 도전막등의 박막을 증착한 뒤, 이를 패터닝하여 다양한 회로 기하구조를 형성함으로써 제조하게 된다. 그리고, 이러한 반도체 디바이스를 제조하기 위해서는 반도체 기판 내부로 3B족(예컨대, B) 또는 5B(예컨대, P 또는 As)족의 불순물 이온을 주입하는 불순물 이온주입 공정, 반도체 기판 상에 물질막을 형성하는 박막 증착(deposition)공정, 반도체 기판 상의 물질막을 소정의 패턴으로 형성하는 식각 공정, 반도체 기판 상부에 층간절연막등을 증착한 후에 일괄적으로 반도체 기판 표면을 연마하여 단차를 없애는 평탄화(CMP:Chemical Mechanical Polishing) 공정을 비롯하여 웨이퍼 또는 챔버의 불순물을 제거 하기 위한 세정 공정등과 같은 여러 단위 공정들이 실시된다. 따라서, 상기와 같은 여러 단위 공정들을 여러 번 반복적으로 실시함으로써 반도체 디바이스를 제조하게 되며, 이러한 단위 공정은 각각의 반도체 제조설비를 통해 이루어진다.
통상의 반도체 제조설비에는 외부로부터 밀폐되어 파티클과 같은 오염물질이 거의 존재하지 않는 진공의 분위기인 프로세스 챔버(process chamber)가 제공된다. 이때, 상기 프로세스 챔버에 웨이퍼가 투입될 때마다 프로세스 챔버 내부를 상압에서 진공압 상태로 펌핑하기 위해서는 불필요한 많은 예비시간이 소요된다. 따라서, 상기 반도체 제조설비에는 상기 프로세스 챔버로 투입되어질 다수개의 웨이퍼가 탑재된 웨이퍼 카세트가 위치되며, 상기 프로세스 챔버 내부와 유사한 진공압 상태를 유지하고 있는 로드락 챔버, 그리고 상기 로드락 챔버로부터 웨이퍼를 인출하여 프 로세스 챔버로 이송시키는 로봇암이 설치된 트랜스퍼 챔버가 더 구비된다. 그리고, 이러한 프로세스 챔버, 로드락 챔버 및 트랜스퍼 챔버로 이루어진 반도체 제조설비는 작업 효율을 향상시키기 위하여, 상기 트랜스퍼 챔버를 중심에 두고 복수개의 로드락 챔버와 프로세스 챔버가 원형모양으로 배치된 클러스터 타입(cluster type)을 이루고 있다.
한편, 반도체 제조설비에 있어서, 대기중의 오염물질 또는 공정을 진행하는 과정에서 발생된 폴리머를 비롯한 각종 파티클들은 반도체 디바이스의 신뢰성 및 수율에 매우 큰 영향을 미치게 된다. 따라서, 프로세스 챔버 내부의 진공압 유지를 위한 목적에서 뿐 아니라 청정도를 유지하기 위한 목적으로 프로세스 챔버 내부를 펌핑하게 된다. 통상적으로, 프로세스 챔버를 펌핑하기 위해서는 터보 펌프 및 드라이 펌프등과 같은 펌핑 장치 및 상기 터보 펌프에 의해 펌핑되어진 프로세스 챔버의 에어가 배출되는 배기라인으로 구성된 진공 장치가 적용된다. 그리고, 이러한 진공 장치의 배기라인에는 프로세스 챔버 내부의 압력을 조절하기 위한 중간 밸브로서, 스로틀밸브가 형성된다.
도 1은 종래 기술에 따른 스로틀밸브가 형성된 배기라인에서의 유체 흐름을 도식적으로 나타낸다.
도 1을 참조하면, 프로세스 챔버(10)와 터보 펌프(12) 사이의 배기라인(14)에 스로틀밸브(16)가 형성되어 있다. 상기 도 1에 도시된 스로틀밸브(16)에는 중심축(20)을 기준으로 좌측 또는 우측으로 기울어지는 원판형상의 배기라인 개폐부(18)가 형성되어 있다. 따라서, 상기 배기라인 개폐부(18)의 기울어짐 정도에 따라 배기라인(14)의 개폐정도가 조절된다. 예컨대, 상기 배기라인 개폐부(18)가 수평 방향일 경우에는 배기라인(14)이 완전히 차단된다. 그러나, 상기 배기라인 개폐부(18)가 소정의 각도로 기울어질 경우에는 그 기울어진 정도만큼 배기라인(14)이 개방되어 프로세스 챔버(10)로부터 펌핑되어진 에어(22)가 터보 펌프(12)측으로 흐르게 된다.
따라서, 상기 프로세스 챔버(10)의 압력이 공정시 요구되는 압력에 비해 보다 높을 경우에는 터보 펌프(12)를 이용하여 프로세스 챔버(10)의 에어를 펌핑한다. 상기 프로세스 챔버(10)로부터 펌핑된 에어(22)는 배기라인(14)을 통해 배출되는데, 이러한 에어 흐름에 의해 배기라인(14)상에 형성된 스로틀밸브(16)의 배기라인 개폐부(18)가 소정 각도로 기울어진다. 이때, 상기 배기라인 개폐부(18)의 기울어짐 정도에 따라 배기라인(14)의 개방정도가 제어됨으로써, 상기 프로세스 챔버(10)의 압력이 공정시 요구되는 압력수준으로 유지되는 것이다.
그러나, 종래 기술에는 상기 배기라인(14)상에 스로틀밸브(16)가 장착된 위치상의 문제 및 배기라인 개폐부(18)의 오픈 각도 조절이 어려워 원활한 배기가 이루어지지 못하였다. 즉, 반도체 제조설비의 구조상의 한계로 스로틀밸브(16)는 배기라인(14)상의 꺽임부(참조부호 A) 하단에 주로 설치되었다. 따라서, 상기 프로세스 챔버(10)로부터 일정 유속을 가지고 수평 배기라인을 흐르던 에어(22)가 꺽임부(A)에 부딪치게 되어 그 흐름이 순간적으로 방해를 받게 된다. 그리고, 이처럼 흐름에 방해를 받은 에어(22)는 수직 배기라인쪽으로 굴절되어 흐르게 되는데, 상기 꺽임부(A)에 부딪침으로 인해 유속이 급감되고 흐름 방향 또한 급격히 변경되어 배기라인(14) 내부에서는 에어 흐름의 균일성이 깨지는 소위 헌팅(hunting) 현상이 발생하게 된다. 이처럼 배기라인(14) 내부에서 헌팅 현상이 발생할 경우 스로틀밸브의 배기라인 개폐부(18)의 동작이 불안정해진다. 즉, 도 1에 도시된 것과 같이, 상기 배기라인 개폐부(18)는 중심축(20)을 기준으로 오른쪽에 위치한 우측 배기라인 개폐부(18b)쪽이 눌려지면서 스로틀밸브(16)가 개방되도록 설계되어 있다. 그러나, 배기라인을 따라 흐르던 에어가 좌측 배기라인 개폐부(18a) 및 우측 배기라인 개폐부(18b)쪽으로 모두 도달하여 유사한 정도의 압력을 가할 경우, 힘의 평형이 이루어져 우측 배기라인 개폐부(18b)측이 기울어질 수 없게 된다.
이처럼 우측 배기라인 개폐부(18b)측이 하부로 내려가지 못할 경우 스로틀밸브(16)가 개방되지 못하게 되고, 이는 결국 배기라인이 차단됨을 의미한다. 또한, 스로틀밸브(16)가 개방되지 못하는 정도까지 이르진 않더라도 스로틀밸브(16)의 개방이 지연될 경우, 원활한 배기가 이루어지지 못하여 프로세스 챔버에 대한 정확하고 정밀한 압력 조절이 어려워진다.
한편, 상기 프로세스 챔버로부터 펌핑되어진 에어속에는 폴리머등의 다양한 파우더가 함유되어 있다. 이러한 파우더들은 에어 흐름 경로를 따라 스로틀밸브의 배기라인 개폐부(18) 표면에 쌓이게 되는데, 이러한 파우더의 응착으로 인해 배기라인 개폐부의 기계적 동작이 원활히 이루어지지 못하게 된다. 프로세스 챔버를 공정에 적합한 압력으로 조절하기 위해서는 스로틀밸브의 개방 정도가 엄격히 제어되어야 하는데, 이처럼 배기라인 개폐부에 파우더가 응착될 경우, 배기라인 개폐부를 회전시키는 회전장치의 마찰력이 증가되어 배기라인 개폐부가 에어 배기에 필요한 정도로 오픈되지 못하게 된다. 또한, 파우더가 응착된 배기라인 개폐부 표면은 러프해진다. 따라서, 러프한 배기라인 개폐부 표면을 지나는 에어의 흐름이 불안정해져 원활한 배기가 어려워지고, 결과적으로 프로세스 챔버에 대한 정확한 압력 조절에 어려움을 겪게 된다.
상기한 바와 같이, 배기라인의 에어 흐름이 원활하지 못할 경우 프로세스 챔버에 대한 압력 조절 또한 원활이 이루어지지 못하고, 그로 인해 증착률, 식각률, 클린율등이 불균일해져 반도체 디바이스이 신뢰성 및 생산성 저하를 야기시킨다. 또한, 스로틀밸브의 불안정한 동작으로 인해 프로세스 챔버 내부의 공정가스가 배기라인을 통해 원활히 배출되지 못할 경우, 배기라인 내부에 파티클 다운이 유발된다. 이처럼 배기라인 내부에 파티클이 발생할 경우 세정공정을 실시함으로 인해 PM 주기가 짧아져 설비 가동률이 저하된다. 그리고, 배기라인 내부에 발생된 파티클이 프로세스 챔버 내부로 유입될 경우 반도체 디바이스에 페일을 야기시킬 뿐 아니라 프로세스 설비를 비롯한 공정 설비 전체의 라이프 사이클을 단축시켜 유지보수 비용을 증가시키는등 수많은 문제점이 도출된다.
상기와 같은 종래의 문제점을 해결하기 위한 본 발명의 목적은, 유체의 불안정한 흐름인 헌팅 현상을 해소할 수 있도록 하는 반도체 제조설비의 진공 장치를 제공함에 있다.
본 발명의 다른 목적은, 배기라인의 개폐정도를 정밀하게 제어할 수 있도록 하는 반도체 제조설비의 진공 장치를 제공함에 있다.
본 발명의 다른 목적은, 프로세스 챔버로부터 펌핑된 에어를 원활히 배기시켜 프로세스 챔버의 압력을 보다 정확하고 정밀하게 조절할 수 있도록 하는 반도체 제조설비의 진공 장치를 제공함에 있다.
본 발명의 다른 목적은, 배기라인 내부에 파티클 다운이 유발되는 문제점을 해소할 수 있는 반도체 제조설비의 진공 장치를 제공함에 있다.
본 발명의 다른 목적은, 공정 설비의 PM 주기를 연장하여 설비 가동률을 보다 향상시킬 수 있는 반도체 제조설비의 진공 장치를 제공함에 있다.
상기한 목적들을 달성하기 위한 본 발명에 따른 반도체 제조설비의 진공 장치는, 외부로부터 독립된 공간을 제공하는 챔버; 상기 챔버 내부의 유체를 배기시키는 배기라인부; 상기 배기라인부를 통해 배기되는 유체의 흐름에 따라 개폐 동작되는 스로틀밸브 유닛; 및 상기 배기라인부를 통해 배기되는 유체의 온도를 상승시키는 히팅부를 포함함을 특징으로 한다.
삭제
또한, 상기한 목적들을 달성하기 위한 본 발명에 따른 반도체 제조설비의 진공 장치는, 외부로부터 독립된 공간을 제공하는 챔버부; 상기 챔버부 내부의 유체를 배기시키고, 수평 배기라인에서 수직 배기라인으로의 연결부가 완만한 곡선형태로 이루어져 있으며, 챔버측에 연결된 수평 배기라인과 상기 수평 배기라인을 통과한 유체의 흐름이 집중되는 수직 배기라인으로 이루어진 배기라인부; 상기 배기라인부를 통해 배기되는 유체의 흐름에 따라 개폐 동작되되, 유체의 흐름이 집중되는 방향으로 개폐되며, 수평상태에서는 배기라인부를 완전히 차단하고, 회전축을 중심으로 좌측 또는 우측으로 기울어짐으로써 배기라인부를 개방시키는 배기라인 개폐부가 구비된 스로틀밸브 유닛부; 및 상기 배기라인부를 통해 배기되는 유체의 온도를 상승시키는 히팅부를 포함함을 특징으로 한다.
이하, 첨부된 도면을 참조하여 본 발명을 보다 상세히 설명하기로 한다. 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 본 발명의 카테고리를 벗어나지 않는 범위내에서 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다.
삭제
정보 통신 분야의 급속한 발달과 컴퓨터와 같은 정보 매체의 급속한 대중화에 따라 반도체 디바이스도 비약적으로 발전하고 있다. 따라서, 그 기능적인 면에 있어서도 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구되고 있다. 또한, 반도체 디바이스의 고집적화 및 대용량화 추세로 인해 반도체 디바이스의 메모리셀을 구성하는 각각의 단위소자의 사이즈 또한 축소되고 있다. 이처럼 단위소자의 사이즈가 축소되어 공정 마진이 감소됨에 따라 반도체 디바이스를 제조하기 위한 단위 공정에 있어서 최상의 정밀성이 요구되고 있다.
따라서, 본 분야에서는 박막 증착공정, 식각 공정등의 여러 단위 공정을 정밀하게 수행하기 위하여 플라즈마를 적극적으로 이용하게 되었다. 그러나, 플라즈마를 반도체 디바이스 제조공정에 이용하고자 하는 경우, 실질적으로 공정이 진행되는 프로세스 챔버를 비롯하여 공정이 진행되어질 웨이퍼가 대기하고 있는 로드락 챔버 및 웨이퍼가 이송되는 경로인 트랜스퍼 챔버 내부가 일정 수준의 압력분위기로 정밀하게 유지될 것이 요구되고 있다.
또한, 상기와 같은 반도체 디바이스 제조설비에 있어서, 대기중의 오염물질 또는 공정을 진행하는 과정에서 발생된 폴리머를 비롯한 각종 파티클들은 반도체 디바이스의 신뢰성 및 수율에 매우 큰 영향을 미치게 된다. 따라서, 진공 펌프등의 펌핑 장치를 이용하여 각각의 설비 내부를 펌핑함으로써, 공정시 요구되는 청정도를 유지함과 동시에 공정시 요구되는 압력상태를 최상으로 유지하게 된다. 특히, 프로세스 챔버는 각종 단위 공정이 진행되는 메인 챔버로서, 프로세스 챔버 내부 공간을 진공상태로 만들기 위한 다양한 방식의 진공 장치가 사용되고 있다. 즉, 프로세스 챔버 내부로 단위 공정을 위한 공정가스가 투입되면, 프로세스 챔버의 내부의 압력은 일시적으로 상승된다. 따라서, 상승된 압력을 공정 조건으로 유지하기 위해 공정이 진행되는 동안 계속해서 진공 장치가 가동된다. 따라서, 이러한 진공 장치에 의해 프로세스 챔버 내부는 공정시 요구되는 압력을 유지하게 되며, 이와 동시에 프로세스 챔버 내부의 미반응 가스 및 공정이 진행되는 동안 발생된 반응부산물 또한 이러한 진공 장치의 펌핑 동작에 의해 외부로 배출된다. 이러한 진공 장치는 설비특성에 따라 다양한 방식으로 운영되는데, 통상적으로 프로세스 챔버를 고진공화하기 위한 진공 펌프로서, 예컨대 터보 펌프가 사용된다. 터보 펌프의 배기용 블레이드는 보통 27,000rpm 이상으로 회전하면서 챔버 내부의 기압을 진공압 상태로 유지하게 된다. 보다 구체적으로, 상기 프로세스 챔버는 균일한 플라즈마 발생을 위하여 파티클과 같은 오염물질의 유입을 최소화하는 것이 매우 중요하므로, 프로세스 챔버에 웨이퍼를 로딩한 뒤, 터보 펌프를 이용하여 1×10-6Torr정도의 고진공으로 펌핑시킨다. 그리고 나서, 플라즈마 반응을 유도하기 위한 질소(N2) 또는 아르곤(Ar)과 같은 퍼지가스를 공급한 뒤, 약 1×10-3Torr 내지 약 1×10-1Torr 정도의 저진공 상태가 유지되도록 한다. 그리고, 상기 프로세스 챔버와 터보 펌프 사이의 배기라인에는 배기라인의 개방정도를 조절할 수 있는 스로틀밸브가 연결된다. 즉, 상기 스로틀밸브는 상기 프로세스 챔버와 터보 펌프를 분리시키는 게이트 밸브 상단에 구비되어, 상기 프로세스 챔버의 압력이 공정시 요구되는 압력수준으로 유지되도록 배기라인의 개방정도를 조절하는 역할을 한다.
삭제
본 발명은 상기와 같은 진공 장치중에서, 특히 배기라인의 개방정도를 조절하는 스로틀밸브 유닛에 관한 것이다. 본 발명에서는 스로틀밸브 유닛의 구조를 변경함으로써, 에어의 불균일한 흐름인 헌팅 현상을 최소화하고 배기라인 내부의 파티클 다운을 방지하게 된다. 이처럼 배기라인 내부에서의 파티클 발생을 최소화할 경우, 프로세스 챔버 내부로 역류되는 파티클을 최소화함으로써, 웨이퍼 로스 및 PM 주기 단축등과 같은 종래의 문제점들을 해소할 수 있게 된다. 또한, 스로틀밸브 유닛을 구조를 변경하여 프로세스 챔버에 대한 펌핑 동작이 보다 원활히 이루어질 수 있도록 하여 순조로운 단위 공정을 진행시킴으로써, 결과적으로 반도체 디바이스의 신뢰성 및 생산성을 향상시킬 수 있게 된다.
그러면, 하기의 도면들을 참조하여 본 발명에 따른 스로틀밸브 유닛이 구비된 진공 장치를 구체적으로 살펴보기로 하자.
도 2는 본 발명에 따른 스로틀밸브 유닛이 적용되는 클러스터 타입의 반도체 제조설비(100)를 나타낸다. 그리고, 도 3은 상기 도 2에 도시되어 있는 반도체 제조설비중 프로세스 챔버(102)의 구조를 나타낸다.
먼저, 도 2를 참조하면, 클러스터 타입의 반도체 제조설비(100)는 크게, 웨이퍼(W)에 대한 박막 증착 공정 또는 식각 공정등과 같은 단위 공정이 수행되는 복수개의 프로세스 챔버(process chamber: 102), 상기 복수개의 프로세스 챔버(102)에서 단위 공정이 수행되는 웨이퍼(W)의 플랫존(flat zone)을 일방향으로 정렬하는 정렬 챔버(align chamber:104), 상기 정렬 챔버(104)에서 상기 복수개의 프로세스 챔버(102)측으로 웨이퍼(W)를 이송시키는 로봇암(106)이 형성된 트랜스퍼 챔버(transfer chamber:108), 상기 트랜스퍼 챔버(108)와 연통되어 있으며, 상기 로봇암(106) 진입시 오픈되는 슬릿밸브(slit valve:110)가 일측에 형성되어 있고, 다수개의 웨이퍼(W)가 탑재된 웨이퍼 카세트(112)가 유출입되는 도어(door:114)가 구비된 복수개의 로드락 챔버(load-lock chamber:116)로 이루어져 있다.
상기 도 2에 도시된 반도체 디바이스 제조설비에 있어서, 상기 프로세스 챔버(102)는 반도체 디바이스 제조를 위한 여러 단위 공정이 수행되는 밀폐된 공간이다. 예컨대, 상기 프로세스 챔버(102)는 상기 웨이퍼(W) 상에 물리기상증착(physical vapor deposition) 방법 또는 화학기상증착(chemical vapor deposition)방법을 통해 소정의 두께의 박막을 형성하는 증착공정, 또는 상기 웨이퍼(W) 상에 형성된 포토레지스트와 같은 마스크막을 통해 노출된 웨이퍼(W) 상의 물질막을 제거하는 식각 공정이 수행될 수 있다. 또한, 상기와 같은 식각 공정을 완료한 후에 포토레지스트를 산화시켜 제거하는 에싱 공정이 수행되기도 한다.
한편, 이러한 박막 증착 공정, 식각 공정 및 에싱 공정을 실시함에 있어서, 상기 프로세스 챔버(102)을 공정시 요구되는 압력으로 유지시키고 파티클과 같은 오염물질의 유입을 최소화하는 것이 매우 중요하다. 따라서, 터보 펌프등의 진공 장치를 이용하여 상기 프로세스 챔버(102)를 펌핑하게 된다.
도 3은 상기 도 2에 도시되어 있는 반도체 제조설비에 구비된 여러 프로세스 챔버중의 하나로서, 예컨대 DPS 플라즈마 식각 공정을 위한 프로세스 챔버의 구조를 나타낸다.
도 3을 참조하면, 웨이퍼 상에 형성된 피가공막을 전기적 특성을 가지는 패턴으로 식각하기 위한 식각 공정이 진행되는 프로세스 챔버(102)가 제공된다. 그리고, 상기 프로세스 챔버(102)는 일정 두께의 챔버 벽(118)으로 이루어진 상부 챔버 및 하부 챔버로 구성된다.
먼저, 상기 프로세스 챔버(102)의 상부 챔버에는 RF 파워가 인가되는 상부전극(120) 및 샤워헤드(122)가 형성되어 있다. 상기 RF 파워는 약 60MHz 이상의 고주파로서, 이러한 고주파수를 인가함으로써, 프로세스 챔버(102) 내부에 주입된 가스를 플라즈마화시킬 수 있게 되고, 10mT 이하의 저압조건하에서도 플라즈마에 의한 식각 공정이 가능하도록 하여 디자인룰 감소에 대응할 수 있도록 한다. 그리고, 상기 샤워헤드(122)에는 가스공급관을 통해 공급되는 가스를 그 내부에 일시적으로 저장시키는 버퍼공간(124)이 마련되어 있으며, 상기 버퍼공간(124)에 일시적으로 저장된 가스를 프로세스 챔버 내부로 분사시키기 위한 복수개의 가스분사홀(126)이 형성되어 있다.
또한, 상기 상부 챔버에는 RF 파워와 연결되어 RF 에너지가 공급되며, 프로세스 챔버 내부의 온도를 약 80℃의 적정온도로 유지시켜주는 보조 챔버로서 기능하는 DTCU(Dome Temp Control Unit:128)가 설치된다. 그리고, 상부 챔버의 천정을 커버하는 형성하는 돔(130)이 설치되어 있다. 그리고, 상기 돔(130)의 상측에는 프로세스 챔버(102)의 내부를 소정의 온도 조건으로 유지시키기 위한 다수개의 램프(132) 및 RF 코일(134)이 구비되어 있다. 또한, 도면상으로 도시되지는 않았으나, 상기 돔(130)의 천정에는 식각 종료 시점을 검출하도록 하는 식각 종말점 감지부가 형성되어 있다.
한편, 상기 프로세스 챔버(102)의 하부 챔버에는 RF 파워가 인가되는 하부전극(136) 및 웨이퍼가 안착되는 정전척(138)이 형성되어 있다. 여기서, 상기 하부전극(136)에 인가되는 RF 파워의 주파수는 약 2MHz로서, 웨이퍼 측으로 플라즈마 이온들을 유인하게 된다. 그리고, 상기 정전척(138)의 에지부에는 클램프 링(140)이 설치되어 있는데, 이러한 클램프 링(140)은 상기 척(138)에 안착된 웨이퍼의 에지부위를 에워싸는 환형으로 이루어져 있다. 이러한 클램프 링(140)에 의해 정전척(138) 상부에 안착된 웨이퍼는 소정의 위치에 고정될 수 있으며, 플라즈마 환경 영역을 웨이퍼의 외측 부위까지 확대시켜 웨이퍼 전체 영역이 플라즈마 작용을 받을 수 있도록 한다. 그리고, 웨이퍼를 상하 방향으로 수직운동시키기 위한 리프트 핀 (142)을 포함한 리프트(144)가 형성되어 있다. 상기 리프트(144)는 구동수단을 이용하여 상기 리프트 핀(142)을 승하강시키며, 이러한 리프트 핀(142)의 승하강에 의해 웨이퍼의 수직 운동이 이루어지게 된다.
그리고, 상기 프로세스 챔버(102)에는 프로세스 챔버(102) 내부를 펌핑하기 위한 진공 장치로서, 배기라인(146)을 통해 터보 펌프(148)가 연결되어 있다. 그리고, 상기 프로세스 챔버(102)와 터보 펌프(148) 사이의 배기라인(146)에는 상기 프로세스 챔버(102)와 터보 펌프(148)를 분리하는 게이트 밸브(150) 및 상기 배기라인(146)의 개방정도를 조절할 수 있도록 하는 스로틀밸브 유닛(152)이 형성되어 있다. 그리고, 도면상에 도시되지는 않았으나, 상기 터보 펌프(148)에는 드라이 펌프(도시되지 않음)가 연결되어 있다. 상기 드라이 펌프는 터보 펌프(148)와 함께 플라즈마 식각 공정이 진행되는 프로세스 챔버(102) 내부의 공정 가스를 배출시키기 위한 기능을 수행하는 펌프로서, 상기 드라이 펌프 자체에서 발생되는 열을 냉각시키기 위한 오일 시스템(도시되지 않음) 및 프로세스 쿨링 워터를 공급하는 워터 플로우 라인(도시되지 않음)이 구비된다. 또한, 상기 드라이 펌프는 일반적으로 프로세스 챔버 및 버퍼 기능의 트랜스퍼 챔버의 압력을 진공상태로 유지하는 기능을 수행하기 위하여 항시 펌핑기능을 유지하고 있다.
따라서, 상기 프로세스 챔버(102) 내부로 단위 공정을 위한 공정가스가 투입되면, 프로세스 챔버(102)의 내부의 압력은 일시적으로 상승된다. 따라서, 상승된 압력을 공정 조건으로 유지하기 위해 공정이 진행되는 동안 계속해서 터보 펌프(148)가 가동된다. 따라서, 이러한 터보 펌프(148)의 펌핑 작용에 의해 프로세스 챔버(102) 내부는 공정시 요구되는 압력을 유지하게 된다. 또한, 공정이 진행되는 동안 발생되는 미반응 가스 및 반응부산물 또한 이러한 터보 펌프(148)의 펌핑 작용에 의해 외부로 배출된다.
상기와 같은 프로세스 챔버 구성에 있어서, 상기 스로틀밸브 유닛(152)은 본 발명의 핵심구성요소로서, 종래 기술에 따른 스로틀밸브로 인해 야기되는 여러 문제점들을 해소할 수 있도록 한다. 즉, 본 발명에서는 상기 스로틀밸브 유닛(152)의 구조를 개선함으로써, 프로세스 챔버(102)의 압력을 보다 정밀하게 제어할 수 있도록 함은 물론 스로틀밸브 유닛을 비롯한 전체 진공 장치의 라이프 타임을 연장시켜 설비 가동율을 증가시키는등의 우수한 효과를 얻을 수 있도록 한다.
그러면, 하기의 도 4 내지 도 7을 참조하여 본 발명에 따른 스로틀밸브 유닛의 여러 실시예들을 구체적으로 살펴보기로 하자.
도 4는 본 발명의 제1실시예에 따른 스로틀밸브 유닛을 나타낸다. 그리고, 도 5는 상기 도 4에 도시된 스로틀밸브 유닛에 의한 에어 흐름을 나타낸다.
먼저, 도 4를 참조하면, 프로세스 챔버(102)와 터보 펌프(148) 사이를 연결하는 배기라인(146)상에 본 발명에 따른 스로틀밸브 유닛(152)이 형성되어 있다. 본 발명의 제1실시예에서는 배기라인(146)의 개방 정도를 제어하는 배기라인 개폐부(156)를 유체 흐름에 따라 보다 용이하게 오픈될 수 있도록 설치 각도를 변경한 것이 특징이다. 즉, 종래에는 배기라인 개폐부의 회전축을 중심으로 좌측 및 우측 배기라인 개폐부로 에어가 도달되었다. 그러다 보니, 좌측 및 우측 배기라인 개폐부로 동일 또는 유사한 유압이 전달되어 좌측 및 우측 배기라인 개폐부를 누르는 유압간의 힘의 균형이 이루어졌다. 그 결과, 배기라인 개폐부가 반시계방향(또는 시계방향일 수 있음)으로 신속하게 회전되지 못하여 에어 배기가 원활히 이루어지지 못하게 되고, 그로 인해 프로세스 챔버에 대한 압력 조절에 어려움을 겪었다. 또한, 에어의 원활한 배기가 방해될 경우, 배기라인 개폐부 상부에 파우더 응착이 심화될 뿐 아니라 유속 감소 또는 에어 정체로 인해 배기라인 내부에 파티클 다운이 유발되는등의 문제점이 야기되었다.
따라서, 본 발명에서는 이러한 종래의 문제점을 해소하고자, 스로틀밸브의 배기라인 개폐부(156)의 오픈 동작이 에어 흐름에 보다 신속하고 민감하게 이루어질 수 있도록 스로틀밸브 유닛(152)을 변경하였다. 일반적으로, 강력한 흡입력을 발생시키는 터보 펌프(148)를 이용하여 상기 프로세스 챔버(102)의 에어를 펌핑할 경우, 상기 펌핑되어진 에어(158)는 일정 유속을 가지고 배기라인(146)을 흐르게 된다. 그리고, 일정 유속을 가지고 직선 배기라인 내부를 흐르던 에어(158)는 구부러진 형태의 배기라인 꺽임부(참조부호 B)을 통과하면서 참조부호 C로 나타낸 것과 같이, 관성에 의해 도면상 우측 배기라인 영역으로 치우쳐 흐르게 된다. 이에 비하여, 도면상 좌측 배기라인 영역은 에어 흐름상 사각지대가 되는 것이다. 따라서, 본 발명에서는 이러한 에어 흐름 특성에 따라 배기라인의 우측 영역이 보다 신속하고 용이하게 오픈될 수 있도록 스로틀밸브의 배기라인 개폐부를 구현한 것이다. 즉, 도 4에 도시된 것과 같이, 에어 흐름이 집중되는 배기라인의 우측 방향을 개방시키는 우측 배기라인 개폐부(156b)가 에어 배기시 신속하고 용이하게 오픈될 수 있도록, 배기라인 개폐부(156)를 회전시키는 회전축(154)의 방향을 종래 대비 90도 각도로 회전 이동시킨 것이 특징이다.
하기 도 5에는 상기 스로틀밸브 유닛(152)에 의한 에어 흐름이 도식적으로 나타나 있다.
도 5를 참조하면, 프로세스 챔버(102)로부터 펌핑되어 수평 배기라인(146)을 따라 흐르던 에어(158)는 배기라인 꺽임부(B)를 통과하면서 관성에 의해 수직 배기라인(146)의 우측 영역으로 집중되어 흐르게 된다. 그리고, 상기 수직 배기라인(146)의 오른쪽 영역으로 집중되어 흐르는 에어(158)의 압력에 의해 회전축(154)을 중심으로 우측 배기라인 개폐부(156b)가 눌려지고 좌측 배기라인 개폐부(156a)는 들어올려지게 된다. 그 결과, 도 5에 도시된 것과 같이, 상기 배기라인 개폐부(156)는 시계방향으로 90도 회전(156`)하여 배기라인(146)을 충분히 개방시키게 된다. 그리고, 상기 에어(158)는 개방된 배기라인(146)을 통해 터보 펌프측으로 이동하여, 외부로 배출되어진다.
상기한 바와 같이, 본 발명의 제1실시예에서는 에어 흐름의 특성을 고려하여 배기라인의 우측 영역이 신속하고 용이하게 오픈될 수 있도록 스로틀밸브 유닛을 구현함으로써, 원활한 배기가 이루어질 수 있도록 한다. 그 결과, 프로세스 챔버에 대한 정밀한 압력 조절이 보다 신속하게 이루어져 프로세스 챔버 내에서의 단위 공정을 성공적으로 수행할 수 있게 된다. 또한, 배기라인에서의 에어 배기 속도가 향상되어 스로틀밸브 및 배기라인의 오염 또한 최소화할 수 있게 된다.
한편, 상기 도 5에는 수평 상태의 배기라인 개폐부(156)가 시계방향으로 90도 각도로 회전한 경우(156`)를 나타낸다. 이는 배기라인(146)을 통과하는 에어 (146)의 양이 많아 스로틀밸브 유닛(152)이 완전히 개방된 상태를 나타내는 것이다. 따라서, 프로세스 챔버로부터 펌핑되어진 에어의 양이 달라지게 되면 상기 배기라인(146)을 흐르는 에어의 양이 달라지게 되고, 그로 인해 상기 배기라인 개폐부(156)의 회전 각도 또한 0~90도 범위에서 디테일하게 제어될 것이다.
또한, 상기 제1실시예에서는 배기라인 개폐부(156)의 회전축(154)을 종래 대비 90도 각도로 회전시킨 상태를 보여주고 있다. 그러나, 이는 최상의 에어 배기 조건을 조성할 수 있도록 하는 하나의 베스트 모델에 불과한 것으로서, 반도체 제조설비 환경에 따라 상기 배기라인 개폐부(156)의 회전축은 종래 대비 1~90도 범위 내에서 자유롭게 변경시킬 수 있을 것이다. 보다 구체적으로, 에어 배기시 회전축을 중심으로 우측 배기라인 개폐부가 눌려지는 경우에는, 배기라인 개폐부(156)의 회전축(154)을 시계방향으로 1~90도 범위내에서 회전 변경시킨다. 그리고, 에어 배기시 회전축을 중심으로 좌측 배기라인 개폐부가 눌려지는 경우에는 배기라인 개폐부(156)의 회전축(154)을 반시계방향으로 1~90도 범위내에서 회전 변경시킨다.
도 6은 본 발명의 제2실시예에 따른 스로틀밸브 유닛(152-1)을 나타낸다.
도 6에 도시된 것과 같이, 본 발명의 제2실시예에 따른 스로틀밸브 유닛(152-1)은 프로세스 챔버(102)와 터보 펌프(148)를 연결하는 배기라인(146)을 히팅하기 위한 배기라인 히팅부(160)가 구비된 것이 특징이다. 따라서, 상기 프로세스 챔버(102)로부터 에어 펌핑시 상기 배기라인 히팅부(160)를 이용하여 에어(158)가 통과하는 배기라인(146)을 히팅한다.
통상적으로, 단위 공정이 진행되는 프로세스 챔버(102)는 고온인데 비하여, 상기 프로세스 챔버(102)에 연결된 배기라인(146)은 온도는 상대적으로 저온으로서, 급격한 온도 차이를 나타낸다. 따라서, 고온의 프로세스 챔버(102) 내부에서는 운동성이 활발했던 에어 입자들이 저온의 배기라인(146)을 통과하는 과정에서 운동성이 약해져 에어 유속이 느려지게 된다. 이처럼 에어 유속이 느려질 경우, 에어 정체로 인해 배기라인 내부에 파티클이 유발될 뿐 아니라, 원활한 배기가 이루어지지 못하여 프로세스 챔버에 대한 압력 조절 또한 차질을 빚게 된다.
따라서, 상기와 같은 종래의 문제점을 해소하고자, 에어 배기시 배기라인을 히팅할 수 있는 배기라인 히팅부(160)을 형성한 것이다. 본 발명에서와 같이 배기라인(146)에 배기라인 히팅부(160)를 구비하여 에어(158)가 흐르는 배기라인(146)을 히팅하게 되면, 배기라인(146)을 통과하는 에어(158) 분자들의 운동성이 활발해진다. 그 결과, 상기 고온의 프로세스 챔버(102)로부터 펌핑된 에어가 활발한 운동성을 유지한 상태로 배기라인(146)을 통과하게 되어 에어 배기 속도가 향상된다. 그리고, 배기라인(146)을 통과하는 에어 흐름 속도가 향상됨으로써 프로세스 챔버(102)의 압력을 신속하고 정확하게 조절할 수 있게 된다. 또한, 에어의 배기 속도가 빨라짐으로 인하여 배기라인(146) 내부에서의 에어 정체 및 그로 인한 파티클 다운등의 문제를 해소할 수 있게 된다. 이때, 상기 배기라인 히팅부(160)는, 예컨대 배기라인(146)을 휘감는 코일형태로 형성할 수 있다. 그리고, 이러한 배기라인 히팅부(160)를 이용하여 에어 배기시 배기라인(146)의 온도를 70℃ 이상으로, 보다 바람직하게는 70~150℃로 히팅한다. 그리고, 상기 배기라인 히팅부(160)를 이용하여 배기라인(146)을 히팅함에 있어서, 에어가 배기됨과 동시에 배기라인(146)이 히 팅되도록 하거나, 에어 배기에 앞서 미리 배기라인(146)을 히팅시킨다.
그리고, 제2실시예에서와 같이 프로세스 챔버(102)와 터보 펌프(148)를 연결하는 배기라인(146)을 히팅할 수 있는 배기라인 히팅부(160)를 구비함과 동시에 상기 제1실시예에서와 같이 형태로 스로틀밸브의 배기라인 개폐부(156)를 형성할 경우, 배기라인(146)에서의 에어 배기 효율을 보다 향상시킬 수 있게 된다.
도 7은 본 발명의 제3실시예에 따른 스로틀밸브 유닛을 나타낸다.
도 7에 도시된 것과 같이, 본 발명의 제3실시예에 따른 스로틀밸브 유닛(152-2)은 프로세스 챔버(102)와 터보 펌프(148) 사이를 연결하는 배기라인에 구비된 꺽임부의 꺽임각도를 최대한 완화시킨 것이 특징이다. 즉, 참조부호 D로 나타낸 것과 같이, 수평 배기라인과 수직 배기라인을 연결하는 배기라인 꺽임부를 완만한 곡선형태로 형성한다.
종래에는 수평 배기라인과 수직 배기라인을 연결하는 꺽임부가 직각형태로 이루어져 있었다. 따라서, 일정 유속을 가지고 수평 배기라인을 통과한 에어가 직각형태의 꺽임부에 부딪쳐 유체 흐름이 분산되고, 그로 인해 헌팅 현상이 발생하고 유속 또한 감소되었다. 이처럼 에어의 유속이 감소될 경우, 프로세스 챔버 내부의 에어 펌핑 효율에 악영향을 미치게 되어 공정시 요구되는 수준으로 압력을 조절하는 것이 어려워진다. 또한, 유속 감소로 인해 스로틀밸브 배기라인 개폐부 상부에 보다 많은 파우더가 응착됨은 물론 배기라인 내부에도 파티클이 형성되는 문제점이 있었다.
그러나, 본 발명의 제3실시예에서와 같이, 수평 배기라인과 수직 배기라인을 연결하는 배기라인 꺽임부(D)를 완만한 곡선형태로 형성하게 되면, 일정 유속을 가지고 수평 배기라인을 통과한 에어가 곡선형태의 완만한 꺽임부(D)를 따라 자연스럽게 수직 배기라인쪽으로 흐르게 된다. 따라서, 에어 흐름의 급격한 방향 전환으로 인한 헌팅 및 이로 인한 유속 감소를 최소화할 수 있게 되어 프로세스 챔버의 압력 조절이 원활히 이루어진다. 또한, 에어의 배기 속도가 향상되어 배기라인 내에서의 에어 정체 현상이 해소됨으로써 배기라인 내부에서의 파티클 다운 문제 및 스로틀밸브의 배기라인 개폐부(156)에 파우더가 응착되는 문제점을 해소할 수 있게 된다.
그리고, 상기한 제3실시예에서와 같이 수평 배기라인과 수직 배기라인을 연결하는 배기라인 꺽임부(D)를 완만한 곡선형태로 형성함과 동시에 상기 제1실시예에서와 같이 상기 제1실시예에서와 같은 형태로 스로틀밸브의 배기라인 개폐부(156)를 형성할 경우, 배기라인(146)에서의 에어 배기 효율을 보다 향상시킬 수 있게 된다.
또한, 상기한 제3실시예에서와 같이 수평 배기라인과 수직 배기라인을 연결하는 배기라인 꺽임부(D)를 완만한 곡선형태로 형성함과 동시에 상기 제1실시예에서와 같은 형태로 스로틀밸브의 배기라인 개폐부(156)를 형성하고, 제2실시예에서와 같이 프로세스 챔버(102)와 터보 펌프(148) 사이의 배기 라인(146)을 히팅할 수 있는 배기라인 히팅부(160)를 형성할 경우, 배기라인(146)에서의 에어 배기 효율을 한층 더 향상시킬 수 있게 된다.
또한, 구체적인 도면으로 나타내지는 않았으나, 프로세스 챔버와 터보 펌프 사이를 연결하는 배기라인을 일직선으로 형성할 경우, 배기라인에서의 에어 배기 효율은 상기 제1실시예, 제2실시예 또는 제3실시예를 통해 설명되어진 스로틀밸브 유닛을 적용한 경우에 비해 월등히 우수할 것으로 예상된다.
이상, 상기에서는 본 발명에 따른 진공 장치에 구비된 스로틀밸브 유닛을 설명함에 있어서, 프로세스 챔버에 대한 에어 펌핑 동작을 중심으로 제1실시예, 제2실시예 및 제3실시예를 설명하였다. 그러나, 본 발명에 따른 스로틀밸브 유닛은 상기한 프로세스 챔버 이외에 로드락 챔버 또는 트랜스퍼 챔버등과 같이 일정 수준의 압력 조절을 필요로 하는 모든 반도체 제조설비에 얼마든지 적용가능하다.
상기한 바와 같이 본 발명에서는, 에어 흐름이 집중되는 방향으로 배기라인이 개폐되도록 스로틀밸브 유닛을 형성하거나, 에어가 통과하는 배기라인을 히팅할 수 있는 히팅부를 형성하거나, 수평 배기라인과 수직 배기라인을 연결하는 배기라인 꺽임부를 완만한 곡선형태로 형성한다. 그 결과, 배기라인에서의 에어 배기 효율이 향상되어 프로세스 챔버의 압력 조절이 보다 용이해진다.
그리고, 원활한 에어 흐름으로 인해 스로틀밸브의 배기라인 개폐부 상부에 파우더가 응착되거나 배기라인 내부에 파티클이 발생되는 문제점을 해소하여 공정 설비의 라이프 타임 및 PM 주기를 연장시킴으로써 설비 가동률을 보다 향상시킬 수 있게 된다.
또한, 프로세스 챔버에 대해 신속하고 정밀한 압력 조절이 가능해 짐으로써, 반도체 디바이스의 신뢰성 및 생산성 향상을 기대할 수 있게 된다.

Claims (20)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 외부로부터 독립된 공간을 제공하는 챔버부;
    상기 챔버부 내부의 유체를 배기시키는 배기라인부;
    상기 배기라인부를 통해 배기되는 유체의 흐름에 따라 개폐 동작되는 스로틀밸브 유닛부; 및
    상기 배기라인부를 통해 배기되는 유체의 온도를 상승시키는 히팅부를 포함함을 특징으로 하는 반도체 제조설비의 진공 장치.
  6. 제 5항에 있어서, 상기 배기라인부는 ;
    챔버측에 연결된 수평 배기라인과,
    상기 수평 배기라인을 통과한 유체의 흐름이 집중되는 수직 배기라인으로 이루어짐을 특징으로 하는 반도체 제조설비의 진공 장치.
  7. 제 6항에 있어서, 상기 스로틀밸브 유닛부는 전체 배기라인부 중에서 유체의 흐름이 집중되는 방향으로 개폐되도록 설치됨을 특징으로 하는 반도체 제조설비의 진공 장치.
  8. 제 7항에 있어서, 상기 스로틀밸브 유닛부는 수평상태에서는 배기라인부를 완전 차단하며, 회전축을 중심으로 좌측 또는 우측으로 기울어짐으로써 배기라인부를 개방시키는 배기라인 개폐부를 포함함을 특징으로 하는 반도체 제조설비의 진공 장치.
  9. 제 5항 또는 제 8항에 있어서, 상기 히팅부는 상기 배기라인부를 둘러싸는 코일 형태로 이루어짐을 특징으로 하는 반도체 제조설비의 진공 장치.
  10. 제 9항에 있어서, 상기 히팅부를 이용하여 상기 배기라인부를 70~150℃로 히팅함을 특징으로 하는 반도체 제조설비의 진공 장치.
  11. 제 10항에 있어서, 상기 히팅부를 이용하여 상기 배기라인부 히팅시, 상기 챔버로부터 에어를 배기시킴과 동시에 배기라인부가 히팅되도록 하거나, 상기 챔버로부터 에어를 배기시킴에 앞서 미리 배기라인를 히팅시킴을 특징으로 하는 반도체 제조설비의 진공 장치.
  12. 제 5항 또는 제 8항에 있어서, 상기 챔버 내부의 유체를 펌펑하기 위한 진공 펌프를 더 구비함을 특징으로 하는 반도체 제조설비의 진공 장치.
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 외부로부터 독립된 공간을 제공하는 챔버부;
    상기 챔버부 내부의 유체를 배기시키고, 수평 배기라인에서 수직 배기라인으로의 연결부가 완만한 곡선형태로 이루어져 있으며, 챔버측에 연결된 수평 배기라인과 상기 수평 배기라인을 통과한 유체의 흐름이 집중되는 수직 배기라인으로 이루어진 배기라인부;
    상기 배기라인부를 통해 배기되는 유체의 흐름에 따라 개폐 동작되되, 유체의 흐름이 집중되는 방향으로 개폐되며, 수평상태에서는 배기라인부를 완전히 차단하고, 회전축을 중심으로 좌측 또는 우측으로 기울어짐으로써 배기라인부를 개방시키는 배기라인 개폐부가 구비된 스로틀밸브 유닛부; 및
    상기 배기라인부를 통해 배기되는 유체의 온도를 상승시키는 히팅부를 포함함을 특징으로 하는 반도체 제조설비의 진공 장치.
  18. 제 17항에 있어서, 상기 히팅부는 상기 배기라인부를 둘러싸는 코일 형태로 이루어짐을 특징으로 하는 반도체 제조설비의 진공 장치.
  19. 제 18항에 있어서, 상기 히팅부를 이용하여 상기 배기라인부를 70~150℃로 히팅함을 특징으로 하는 반도체 제조설비의 진공 장치.
  20. 삭제
KR1020060015399A 2006-02-17 2006-02-17 반도체 제조설비의 진공 장치 KR100754243B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020060015399A KR100754243B1 (ko) 2006-02-17 2006-02-17 반도체 제조설비의 진공 장치
US11/542,108 US20070193573A1 (en) 2006-02-17 2006-10-04 Vacuum system of semiconductor device manufacturing equipment
CNA2007100065951A CN101026085A (zh) 2006-02-17 2007-02-06 具有真空系统的半导体器件制造设备

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060015399A KR100754243B1 (ko) 2006-02-17 2006-02-17 반도체 제조설비의 진공 장치

Publications (2)

Publication Number Publication Date
KR20070082613A KR20070082613A (ko) 2007-08-22
KR100754243B1 true KR100754243B1 (ko) 2007-09-03

Family

ID=38426906

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060015399A KR100754243B1 (ko) 2006-02-17 2006-02-17 반도체 제조설비의 진공 장치

Country Status (3)

Country Link
US (1) US20070193573A1 (ko)
KR (1) KR100754243B1 (ko)
CN (1) CN101026085A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180045260A (ko) 2016-10-25 2018-05-04 프리시스 주식회사 저기압을 이용한 파우더 배출라인부가 구비된 밸브

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101593666B (zh) * 2008-05-28 2011-05-18 和舰科技(苏州)有限公司 一种机台用氦管路结构
US20120247953A1 (en) * 2011-03-28 2012-10-04 Chien-Min Weng Film-coating system
CN102304702A (zh) * 2011-09-23 2012-01-04 深圳市华星光电技术有限公司 用于化学气相沉积机台的真空泵的排气管及相应的真空泵
KR101463961B1 (ko) * 2013-02-15 2014-11-26 최대규 플라즈마 처리 시스템
CN104878369B (zh) * 2015-06-07 2017-10-27 上海华虹宏力半导体制造有限公司 Teos机台的排气管连接件以及排气管
CN109689930B (zh) * 2016-09-16 2022-07-29 皮考逊公司 用于原子层沉积的设备和方法
US10618085B2 (en) * 2017-05-31 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and methods for exhaust cleaning
CN111676464A (zh) * 2020-06-17 2020-09-18 北京北方华创微电子装备有限公司 半导体加工设备的排气装置及半导体加工设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10132141A (ja) * 1996-10-28 1998-05-22 Hitachi Ltd コンダクタンス調整弁および半導体製造装置
JPH10204642A (ja) * 1997-01-24 1998-08-04 Nec Yamaguchi Ltd 減圧処理装置
KR19990023067U (ko) * 1997-12-02 1999-07-05 구본준 웨이퍼 증착 장비의 파우더 소각장치
JPH11243059A (ja) * 1998-02-26 1999-09-07 Kokusai Electric Co Ltd 半導体製造装置
KR20040012064A (ko) * 2002-07-31 2004-02-11 삼성전자주식회사 개선된 배기구조를 갖는 반도체제조설비용 진공설비
KR20040079060A (ko) * 2003-03-06 2004-09-14 삼성전자주식회사 반도체소자 제조설비의 배기시스템

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
EP0636704B1 (en) * 1993-07-30 1999-11-03 Applied Materials, Inc. Silicon nitride deposition
US6568417B2 (en) * 2001-04-17 2003-05-27 Intel Corporation Throttle valve assembly
US6843264B2 (en) * 2002-12-18 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-phase pressure control valve for process chamber
US6808163B2 (en) * 2003-02-20 2004-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Modified blades for process chamber throttle valve

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10132141A (ja) * 1996-10-28 1998-05-22 Hitachi Ltd コンダクタンス調整弁および半導体製造装置
JPH10204642A (ja) * 1997-01-24 1998-08-04 Nec Yamaguchi Ltd 減圧処理装置
KR19990023067U (ko) * 1997-12-02 1999-07-05 구본준 웨이퍼 증착 장비의 파우더 소각장치
JPH11243059A (ja) * 1998-02-26 1999-09-07 Kokusai Electric Co Ltd 半導体製造装置
KR20040012064A (ko) * 2002-07-31 2004-02-11 삼성전자주식회사 개선된 배기구조를 갖는 반도체제조설비용 진공설비
KR20040079060A (ko) * 2003-03-06 2004-09-14 삼성전자주식회사 반도체소자 제조설비의 배기시스템

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180045260A (ko) 2016-10-25 2018-05-04 프리시스 주식회사 저기압을 이용한 파우더 배출라인부가 구비된 밸브

Also Published As

Publication number Publication date
US20070193573A1 (en) 2007-08-23
KR20070082613A (ko) 2007-08-22
CN101026085A (zh) 2007-08-29

Similar Documents

Publication Publication Date Title
KR100754243B1 (ko) 반도체 제조설비의 진공 장치
US7338576B2 (en) Plasma processing device
US20140311581A1 (en) Pressure controller configuration for semiconductor processing applications
TW201822275A (zh) 氮化物間隔物之基腳移除
US20060180968A1 (en) Semiconductor manufacturing apparatus
KR102401704B1 (ko) 이동가능한 에지 링 설계들
TW201440138A (zh) 用於鹵化物驅氣的處理系統及方法
JP2015159248A (ja) 基板処理装置
CN102939657A (zh) 具有增强的离子化和rf功率耦合的低电阻率钨pvd
JP6945314B2 (ja) 基板処理装置
JP2016530705A (ja) 縁部クリティカルディメンジョンの均一性制御用のプロセスキット
TWI821795B (zh) Lcos元件中之鏡接地的結構與方法
KR20180064983A (ko) 클리닝 방법
US20230264238A1 (en) Condition selectable backside gas
US11702738B2 (en) Chamber processes for reducing backside particles
US20220106683A1 (en) Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
JP2017135169A (ja) 基板処理装置および基板処理方法
US20240014039A1 (en) Carbon hardmask opening using boron nitride mask
US20220375746A1 (en) Semiconductor substrate bevel cleaning
US20220238349A1 (en) Polymerization protective liner for reactive ion etch in patterning
US11772137B2 (en) Reactive cleaning of substrate support
WO2020241599A1 (ja) 基板処理システム及び基板処理システムの制御方法
JP3333177B2 (ja) プラズマエッチング装置及びプラズマエッチング方法
US20230343593A1 (en) Multi-layer hardmask for defect reduction in euv patterning
WO2024020152A1 (en) High aspect ratio carbon etch with simulated bosch process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee