JP2015159248A - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP2015159248A
JP2015159248A JP2014034336A JP2014034336A JP2015159248A JP 2015159248 A JP2015159248 A JP 2015159248A JP 2014034336 A JP2014034336 A JP 2014034336A JP 2014034336 A JP2014034336 A JP 2014034336A JP 2015159248 A JP2015159248 A JP 2015159248A
Authority
JP
Japan
Prior art keywords
substrate
wafer
recess
support pins
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014034336A
Other languages
English (en)
Other versions
JP6303592B2 (ja
Inventor
立花 光博
Mitsuhiro Tachibana
光博 立花
裕二 高畠
Yuji Takahata
裕二 高畠
学 本間
Manabu Honma
学 本間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014034336A priority Critical patent/JP6303592B2/ja
Priority to TW104105482A priority patent/TWI600790B/zh
Priority to US14/628,661 priority patent/US20150240357A1/en
Priority to KR1020150025789A priority patent/KR101867133B1/ko
Priority to CN201510087374.6A priority patent/CN104862668B/zh
Publication of JP2015159248A publication Critical patent/JP2015159248A/ja
Application granted granted Critical
Publication of JP6303592B2 publication Critical patent/JP6303592B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】基板処理装置において、回転テーブルに受け渡された基板が反ることを防ぎ、それによって装置のスループットを高くすることができる技術を提供すること。【解決手段】前記基板を収納するために前記回転テーブルの一面側に形成された凹部と、前記基板を600℃以上に加熱して処理するために、前記回転テーブルを加熱する加熱部と、前記凹部の底面において正三角形の頂点に各々位置し、基板の中心から当該基板の半径の2/3離れた箇所を各々支持して、前記基板を、当該凹部の底面から浮いた状態で支持するために設けられた3つの支持ピンと、を備えるように装置を構成する。支持ピンは、基板の自重による変形が抑えられるように当該基板を支持することになる。【選択図】図4

Description

本発明は、真空容器内に設けられた回転テーブル上の基板にガスを供給して処理を行う基板処理装置に関する。
半導体ウエハなどの基板(以下「ウエハ」と言う)にシリコン酸化膜(SiO2)などの薄膜を成膜する手法として、ALD(Atomic Layer Deposition)を行う成膜装置が知られている。この成膜装置では、その内部が排気されて真空雰囲気とされる処理容器内に水平な回転テーブルが設けられ、当該回転テーブルにはその周方向に、ウエハが収納される凹部が複数設けられている。回転テーブルの間欠的な回転と、前記凹部の底面上を昇降する昇降ピンの動作とによって、順番に凹部にウエハが受け渡される。
ウエハの受け渡し後は、前記回転テーブルが回転しながら、当該回転テーブルに対向する複数のガスノズルからガスが供給される。前記ガスノズルとしては、例えば前記シリコン酸化膜を形成するために処理ガスを供給して処理雰囲気を形成するものと、回転テーブル上で各処理雰囲気を分離する分離ガスを供給するものと、が交互に配置される。このような成膜装置は、例えば特許文献1に記載されている。
特開2011−151387
ウエハの膜質を向上させるために、上記の成膜装置による処理時のウエハの温度を従来の温度よりも高く、アニールが行われる600℃以上にすることが検討されている。このように処理を行う場合、上記の凹部へのウエハの受け渡し後、速やかに成膜処理を開始するために、ウエハの受け渡し時における回転テーブルの温度も例えば600℃以上にされる。
しかし、そのような高温にされた前記凹部の底面上にウエハが載置されると、当該ウエハに反りが発生することが確認された。これは、前記底面上に載置してから所定の時間内においてウエハ全体の面積に対して当該ウエハへ流れる熱量が大きい、即ちウエハへの熱流束が大きいことにより、ウエハの面内の各部の温度が、比較的大きな差を持った状態で上昇することによるものと発明者は考えている。反りの発生後、さらにウエハの温度が上昇すると、前記凹部の底面と前記ウエハとが熱平衡状態となり、ウエハの面内の熱伝導により当該面内の温度勾配(温度差)が緩和され、ウエハの反りが解消される。
上記のようにウエハが反った状態では、当該ウエハが前記凹部の側壁の上端よりも上方に突出した状態となる場合がある。この状態で回転テーブルを回転させると、当該ウエハが後述の分離領域を構成する真空容器の天井部に干渉してしまうおそれがある。また、反りによってウエハの周縁部が凹部の側壁上に突出した状態で、前記回転テーブルが回転すると、遠心力によって当該周縁部が前記側壁上に乗り上げ、ウエハが前記凹部から脱離してしまうおそれがある。また、ウエハの下面が下方に突出するようにウエハが反ることによって、当該ウエハの下面と前記凹部の底面との接触面積が小さくなると、前記回転テーブルの回転時に発生する遠心力及び慣性力によって凹部内のウエハの位置が回転方向にずれてしまうことも懸念される。このようなウエハの反りは、ウエハへの熱流束が大きいこと以外にも、前記回転テーブルを加熱するヒーターの特性に起因して、ウエハの受け渡し時に前記凹部の底面内にて温度分布が形成される結果、ウエハの面内に温度勾配が形成されることによっても生じるおそれがある。
このような事情から、一の凹部へウエハを受け渡した後、ウエハの反りが緩和されるまで前記回転テーブルの回転を行うことができないため、成膜装置の生産性の向上を図ることが難しかった。前記特許文献1には、前記凹部の底面に基板を支持する突起を設けることについて記載されているが、上記のようにウエハを高温で処理することについて生じる問題については検討されていない。
本発明はこのような事情の下になされたものであり、その目的は、真空雰囲気を形成して基板にガスを供給して処理を行う基板処理装置において、回転テーブルに受け渡された基板が反ることを防ぎ、それによって装置のスループットを高くすることができる技術を提供することである。
本発明の基板処理装置は、真空容器内にて回転テーブル上に載置した円形の基板を公転させながら、当該基板に対して処理ガスを供給して処理を行う基板処理装置において、
前記基板を収納するために前記回転テーブルの一面側に形成された凹部と、
前記基板を600℃以上に加熱して処理するために、前記回転テーブルを加熱する加熱部と、
前記底面において正三角形の頂点に各々位置し、基板の中心から当該基板の半径の2/3離れた箇所を各々支持して、前記基板を、前記凹部の底面から浮いた状態で支持するために設けられた3つの支持ピンと、
を備えたことを特徴とする。
本発明の他の基板処理装置は、真空容器内にて回転テーブル上に載置した基板を公転させながら基板に対して処理ガスを供給して処理を行う基板処理装置において、
前記基板を収納するために前記回転テーブルの一面側に形成された凹部と、
前記基板を600℃以上に加熱して処理するために、前記回転テーブルを加熱する加熱部と、
前記回転テーブルにおいて前記基板が載置される凹部の底面を構成する底面形成部と、
前記回転テーブルにおいて前記底面の外側を構成するテーブル本体と、
を備え、
前記底面内での温度の均一性を高くして、基板の面内における温度差を抑えるために、前記底面形成部は前記テーブル本体よりも熱の伝導性が高い材質を主成分として構成されることを特徴とする。
本発明のさらに他の基板処理装置は、真空容器内にて回転テーブル上に載置した円形の基板を公転させながら、当該基板に対して処理ガスを供給して処理を行う基板処理装置において、
前記基板を収納するために前記回転テーブルの一面側に形成された凹部と、
前記基板を600℃以上に加熱して処理するために、前記回転テーブルを加熱する加熱部と、
前記凹部の底面から浮いた状態で基板を支持するために、当該底面に設けられる複数の支持ピンと、を備え、
凹部の底面から基板への伝熱速度を抑えるために、前記支持ピンに支持される前記基板の一面の全体の面積に対して、当該一面における前記支持ピンに接触する面積の割合は、8%〜12%であるように構成されることを特徴とする。
本発明によれば、3つの支持ピンにより基板が凹部の底面から浮いた状態で支持され、このときに支持ピンの配置によって、基板の自重による変形が抑えられる。これによって基板への伝熱速度が抑えられると共に、凹部の底面と、基板との距離が、基板の面内でばらつくことが抑えられる。結果として、基板がその面内で均一性高く加熱される。また、本発明の他の発明によれば、回転テーブルにおいて基板が載置される凹部の底面を構成する底面形成部は、当該底面の外側を構成するテーブル本体よりも熱の伝導性が高い材質を主成分として構成される。それによって、前記底面内での温度の均一性が高くなり、基板がその面内で均一性高く加熱される。また、本発明のさらに他の発明によれば、回転テーブルの凹部の底面から基板への伝熱速度が抑えられるように、基板の一面全体の面積に対して基板を支持する支持ピンが当該一面に接触する面積が規定される。これらの本発明の構成によって、基板の面内に温度差が形成されて反りが生じることを抑え、基板が凹部21上へ突出することを抑えることができる。従って、一つの凹部へ基板受け渡し後、速やかに次の凹部に後続の基板を移載したり、速やかに基板に処理を開始することができるため、装置のスループットを向上させることができる。
本発明の第1の実施形態に係る成膜装置の縦断面側図である。 上記の成膜装置の内部の概略構成を示す斜視図である。 前記成膜装置の横断平面図である。 前記成膜装置の回転テーブルの凹部の平面図である。 前記回転テーブルの縦断側面図である。 比較例の回転テーブルの縦断側面図である。 比較例の回転テーブルの縦断側面図である。 前記回転テーブルの縦断側面図である。 前記回転テーブルの縦断側面図である。 前記回転テーブルの縦断側面図である。 前記成膜装置の真空容器の周方向に沿った縦断側面図である。 前記成膜装置の真空容器の周方向に沿った縦断側面図である。 前記成膜装置の真空容器の周方向に沿った縦断側面図である。 成膜処理中のガスの流れを示す説明図である。 前記回転テーブルの縦断側面図である。 前記回転テーブルの縦断側面図である。 比較例の回転テーブルの縦断側面図である。 比較例の回転テーブルの縦断側面図である。 第2の実施形態に係る回転テーブルの凹部の平面図である。 前記回転テーブルの縦断側面図である。 前記回転テーブルの縦断側面図である。 第2の実施形態の変形例に係る回転テーブルの縦断側面図である。 第3の実施形態に係る回転テーブルの凹部の平面図である。 前記回転テーブルの縦断側面図である。 前記回転テーブルの縦断側面図である。 第3の実施形態の変形例に係る回転テーブルの凹部の平面図である。 第1の実施形態の第1の変形例に係る前記凹部の平面図である。 第1の実施形態の第2の変形例に係る前記凹部の平面図である。 第1の実施形態の第3の変形例に係る前記凹部の平面図である。 第1の実施形態の第4の変形例に係る前記凹部の平面図である。
(第1の実施形態)
本発明の基板処理装置の一実施形態であり、例えばシリコンからなる基板であるウエハWにALDを行う成膜装置1について図1〜図3を参照しながら説明する。図1は成膜装置1の縦断側面図であり、図2は成膜装置1の内部を示す概略斜視図であり、図3は成膜装置1の横断平面図である。成膜装置1は、概ね円形状の扁平な真空容器(処理容器)11と、真空容器11内に設けられた円板状の水平な回転テーブル2と、を備えている。真空容器11は、天板12と、真空容器11の側壁及び底部をなす容器本体13とにより構成されている。図1中14は、容器本体13の下側中央部を塞ぐカバーである。
回転テーブル2は石英により構成されており、回転駆動機構15に接続され、当該回転駆動機構15により、その中心軸周りに周方向に回転する。回転テーブル2の表面側(一面側)には、前記回転方向に沿って5つの円形の凹部21が形成されている。この凹部21内にウエハWが収納される。ウエハWはその直径が300mmの円形に構成される。凹部21の径は、前記ウエハWの径よりも若干大きく形成され、凹部21の側壁は、ウエハWの外形に沿って形成されている。回転テーブル2の回転により凹部21内のウエハWが前記回転テーブル2の中心軸周りに公転する。凹部21の構成については、後に詳述する。
真空容器11の側壁には、ウエハWの搬送口16が開口しており、ゲートバルブ17により開閉自在に構成されている。搬送口16を介して成膜装置1の外部のウエハ搬送機構18が、真空容器11内に進入することができる。ウエハ搬送機構18は、搬送口16に臨む凹部21にウエハWを受け渡す。
回転テーブル2上には、夫々回転テーブル2の外周から中心へ向かって伸びる棒状の第1の反応ガスノズル31、分離ガスノズル32、第2の反応ガスノズル33及び分離ガスノズル34が、この順で周方向に配設されている。これらのガスノズル31〜34は下方に開口部35を備え、回転テーブル2の径に沿って夫々ガスを供給する。第1の反応ガスノズル31はBTBAS(ビスターシャルブチルアミノシラン)ガスを、第2の反応ガスノズル33はO3(オゾン)ガスを夫々吐出する。分離ガスノズル32、34はN2(窒素)ガスを吐出する。
前記真空容器11の天板12は、下方に突出する扇状の2つの突状部41を備え、突状部41は周方向に間隔をおいて形成されている。前記分離ガスノズル32、34は、夫々突状部41にめり込むと共に、当該突状部41を周方向に分割するように設けられている。前記第1の反応ガスノズル31及び第2の反応ガスノズル33は、各突状部41から離れて設けられている。第1の反応ガスノズル31の下方のガス供給領域を第1の処理領域P1、第2の反応ガスノズル33の下方のガス供給領域を第2の処理領域P2とする。突状部41、41の下方は、分離ガスノズル32、34からのN2(窒素)ガスが供給される分離領域D、Dとして構成されている。
真空容器11の底面において、回転テーブル2の径方向外側にはリングプレート36が設けられ、このリングプレート36には、回転テーブル2の回転方向に間隔をおいて、排気口37、37が開口している。各排気口37には、排気管38の一端が接続され、各排気管38の他端は合流し、排気量調整機構39を介して真空ポンプにより構成される排気機構30に接続される。排気量調整機構39により各排気口37からの排気量が調整され、それによって真空容器11内の圧力が調整される。
回転テーブル2の中心部領域C上の空間には、ガス供給管43によりN2ガスが供給されるように構成されている。当該N2ガスは、天板12の中央部下方にリング状に突出したリング状突出部42の下方の流路を介して、回転テーブル2の径方向外側にパージガスとして流れる。リング状突出部42の下面は、前記分離領域Dを形成する突状部41の下面に連続するように構成されている。
図1中44は、成膜処理中に回転テーブル2の下方へパージガスとしてN2ガスを供給するための供給管である。また、真空容器11の底部にはリング状に空間45が形成され、当該空間には、回転テーブル2の回転方向に沿って平面視同心円状に複数のヒーター46が設けられている。図1中47は、空間45の上側を塞ぐプレートであり、後述の昇降ピン53が通過する貫通孔48が設けられている。ヒーター46の輻射熱でプレート47が加熱され、さらにそのプレート47からの輻射熱で回転テーブル2が加熱されることにより、ウエハWが加熱される。図1中49は、成膜処理中に前記空間45にN2ガスをパージガスとして供給するための供給管である。
真空容器11の容器本体13の底部には、前記プレート47の貫通孔48に重なるように、当該底部を上下方向に貫通する3つの貫通孔51が穿設されている(便宜上、図1では2つのみ表示)。容器本体13の下方側から貫通孔51を塞ぐように、有底の筒状体52が設けられており、当該筒状体52内には、3本の昇降ピン53が設けられている。これらの昇降ピン53は各々前記貫通孔51に進入するように設けられると共に、筒状体52の外側に設けられる駆動機構54に接続され、当該駆動機構54により昇降自在に構成されている。
続いて、回転テーブル2の凹部21の構成について、その平面図である図4も参照しながら説明する。凹部21の底面22には3つの貫通孔23が設けられており、前記昇降ピン53は、この貫通孔23を介して回転テーブル2の上方を昇降することができる。凹部21の底面22の周縁部には、リング状に溝24が形成されている。溝24は、ウエハWの周端部がウエハWの中央部よりも下方に向かうように当該ウエハWが反ったときに、前記周端部と凹部21の底面22とが擦れることを防ぐ役割を有するが、当該溝24を設けずに凹部21を構成してもよい。
底面22には、3つの支持ピン25が設けられている。支持ピン25は円柱形に構成され、例えば石英により構成されている。図4に示す支持ピン25の径L1は例えば10mmである。また、図1に示す支持ピン25の高さH1は、例えば0.6mmである。図4中、点Pは底面22の中心であり、ウエハWの中心は当該点Pに重なるように底面22上に受け渡される。図中Q1、Q2、Q3は、各支持ピン25の上面の中心点を示している。これら点Q1、Q2、Q3は、前記点Pを中心とする円(図4中2点鎖線で表示)の円周上に、この順で位置しており、当該2点鎖線の円の直径L2は200mmである。また、線分PQ1と線分PQ2とのなす角θ1、線分PQ2と線分PQ3とのなす角θ2、及び線分PQ2と線分PQ3とのなす角θ3は、互いに120°である。このように、ウエハWが支持ピン25により支持される各位置は、ウエハWの中心からウエハWの半径の2/3離れており、また、図4に示すように各支持ピン25は正三角形の頂点に位置するように設けられている。
ところで、本発明は直径が450mmであるウエハW(以降、450mmウエハWと記載)にも適用することができる。この450mmウエハWを支持する場合にも、その中心からウエハWの半径の2/3離れた点、即ちウエハWの中心から150mm離れた点を支持することができるように、支持ピン25が配置される。そして、直径が300mmのウエハW(以降、300mmウエハWと記載)を支持する場合と同様、底面22において正三角形の頂点に位置するように各支持ピン25が配置される。
ただし、装置の製造誤差や、基板の直径の誤差などが発生することが避けられないので、既述の凹部21の底面22において正三角形の頂点となり、且つ基板の中心から当該基板の半径の2/3離れた箇所を各々支持する位置から、支持ピン25の位置が、1mmずれていても本発明の権利範囲に含まれる。具体的に例えば、300mmウエハWを支持する場合、300mmウエハWの径方向に見た場合、上記の説明では支持ピン25は、ウエハWの中心から100mm離れた位置を支持するものとして説明したが、ウエハWの中心から99〜101mm離れた位置を支持するように設けた場合にも本発明の権利範囲に含まれる。径方向だけではなく、ウエハWの周方向における支持位置が1mmずれた場合も本発明の権利範囲であるので上記のθ1〜θ3も正確に120°であることには限られない。
図5に示すように、これらの支持ピン25により、ウエハWは底面22から浮いて支持され、それによって当該底面22からウエハWへの伝熱速度、即ち熱流束が抑えられる。詳しく説明すると、ウエハWの受け渡し時に回転テーブル2はヒーター46により加熱されている。支持ピン25が設けられないとした場合、凹部21の底面22にウエハWが直接接触する。つまり、ウエハWの下面の全体ないしは略全体が回転テーブル2に接触するので、ウエハWと回転テーブル2との接触面積は比較的大きい。従って、回転テーブル2からウエハWの伝熱速度が大きい。そして、このように底面22に載置されたウエハWは、例えば当該底面22内に形成されている温度分布の影響を受けて、その面内の各部に温度差が形成された状態で急速に伝熱される。結果として、ウエハWの面内の各部間での前記温度差が緩和されないまま、ウエハWが急速に温度上昇し、背景技術の項目で説明したようにウエハWに反りが発生する。
しかし、前記支持ピン25を設けることでウエハWの下面の回転テーブル2との接触面積は、3つの支持ピン25の上面の面積の合計となるので小さく抑えられ、それによって回転テーブル2からウエハWへの伝熱速度が抑えられる。支持ピン25からウエハWへの伝熱された熱は、ウエハWの面内に拡散する。回転テーブル2からのウエハWへの伝熱速度が抑えられているので、ウエハWの面内に十分に熱が拡散され、ウエハWの面内の各部における温度勾配が緩和されながら、当該ウエハWの面内における各部の温度が上昇していく。このように、ウエハWの面内で温度勾配が形成されることが抑えられながら、ウエハWが加熱されるので、ウエハWにおける反りの発生、または反りが大きくなることが抑えられる。
ところで、各支持ピン25を既述の位置に配置するのは、ウエハWが支持ピン25に受け渡されたときに、当該ウエハWの自重による撓みを抑え、平板ないしは略平板となるように当該ウエハWを底面22上に載置することを目的とする。説明の便宜上、比較例として図6、図7を示す。図6では各支持ピン25を、図4、図5に示した位置よりも凹部21の中心Pから離れた位置に配置し、そのように配置された支持ピン25に、ウエハWが支持された状態を示している。当該ウエハWは自重により、その中央部が周縁部よりも低くなるように、撓んだ状態で支持されている。図7では、各支持ピン25を、図4に示した位置よりも凹部21の中心Pに近い位置に配置し、そのように配置された支持ピン25にウエハWが支持された状態を示している。当該ウエハWは自重により、その中央部が周縁部よりも高くなるように、撓んだ状態で支持されている。
図6、図7の支持ピン25の配置ではウエハWの撓みが大きく、ウエハWの面内の各部と前記底面22との距離の均一性が低い。それによってウエハWの面内の各部が前記底面22から受ける輻射熱の熱量の均一性が低く、ウエハWの面内に温度差が形成されやすい。また、図6、図7に示した状態よりも撓みが大きくなるようにウエハWが支持され、ウエハWの一部が底面22に接触すると、当該箇所は熱伝導により急速に温度が上昇するため、ウエハWの面内の各部における温度差がさらに大きくなってしまう。
しかし図4、図5の支持ピン25の配置では、図6、図7の支持ピン25の配置に比べて前記撓みを抑えて載置することでき、それによって、ウエハWの面内で底面22から受ける輻射熱の均一性が高く、また、底面22へのウエハWの接触も防ぐことができる。従って、ウエハWの面内の各部における温度差を抑えることができ、ウエハWが反る、あるいは反りが大きくなることを防ぐことができる。また、図4、図5のように撓みを抑えて支持すれば、ウエハWが反った場合においても、ウエハWの凹部21の上方へ突出する高さを抑えることができるが、この点については後述する。
支持ピン25の高さH1(図1参照)は、上記の値に限られず、底面22からの輻射熱により効率良くウエハWを加熱し、且つ凹部21からのウエハWの突出を防ぐために、例えば0.01mm〜1mmに設定される。また、支持ピン25の径L1(図4参照)についても上記の値に限られず、回転テーブル2の回転中にウエハWが凹部21から脱離しないようにウエハWとの間に十分な摩擦力が得られ、且つウエハWへの伝熱を有効に抑えることができる範囲で設定することができ、具体的には例えば5〜20mmとされる。
図2、図3に戻って、成膜装置1の他の各部について説明する。図中55はクリーニングガスノズルであり、このクリーニングガスノズル55は、その先端から回転テーブル2上に、例えばClF3(三フッ化塩素)などのフッ素系ガスであるクリーニングガスを吐出する。フッ素系ガスは、フッ素またはフッ素化合物を主成分として含むガスである。吐出されたクリーニングガスは、回転テーブル2の周縁部から中心部へ向けて供給され、回転テーブル2に成膜された酸化シリコンを除去する。
図1に示すように、この成膜装置1には、装置全体の動作のコントロールを行うためのコンピュータからなる制御部10が設けられている。この制御部10には、後述のように、搬送機構18と回転テーブル2との間でのウエハWの受け渡し、ウエハWへの成膜処理及びクリーニング処理を実行するプログラムが格納されている。前記プログラムは、成膜装置1の各部に制御信号を送信して、各部の動作を制御する。
具体的には、図示しないガス供給源から各ガスノズル31〜34、ガスノズル55、中心部領域Cなどへの各ガスの給断、回転駆動機構15による回転テーブル2の回転速度の制御、排気量調整機構39による各排気口37、37からの排気量の調整、駆動機構54による昇降ピン53の昇降、ヒーター46への電力供給などの各動作が制御される。前記プログラムにおいては、これらの動作を制御して、後述の各処理が実行されるようにステップ群が組まれている。当該プログラムは、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの記憶媒体から制御部10内にインストールされる。
続いて、ウエハ搬送機構18から回転テーブル2へのウエハWの受け渡しについて、図8〜図13を参照しながら説明する。図8〜図10は回転テーブル2の径方向における縦断側面を示し、図11〜図13は回転テーブル2の周方向に沿った真空容器11の縦断側面を示している。先ず、真空容器11内は、排気口37、37により排気され、所定の圧力の真空雰囲気とされる。中心部領域C及び分離ガスノズル32、34からは、真空容器11内の雰囲気がこれら中心部領域C、ガスノズル32、34に流入することを防ぐために、ごく少量のNガスが供給されている。
前記真空雰囲気下で、ヒーター46により回転テーブル2が600℃以上、例えば720℃に加熱されており、回転テーブル2の一の凹部21が、その貫通孔23と、回転テーブル2の下方のプレート47の貫通孔48とが重なるように位置している。当該凹部21の位置を搬送口16に臨む位置と表記する。このような状態でゲートバルブ17が開放され、ウエハW(1枚目のウエハW)を保持したウエハ搬送機構18が搬送口16から真空容器11内に進入し、前記凹部21上に位置する(図8)。
昇降ピン53が上昇してウエハWの下面を搬送機構18から突き上げると(図9)、ウエハ搬送機構18は、次に真空容器11内に搬送するウエハW(2枚目のウエハW)を受け取るために真空容器11から退避する。昇降ピン53が下降し、ウエハWは昇降ピン53上にてその自重により撓んだ状態で支持されながら、凹部21の底面22へ向けて下降し、図4で説明したようにウエハWの中心点と、凹部21の中心Pとが重なるように支持ピン25に受け渡される(図10)。昇降ピン53はさらに下降して、ウエハWの下面から離れ、プレート47の下方で静止する。ウエハWは、支持ピン25により、図5で説明したように自重による撓みが抑えられ、平板となった状態で支持される。図11においても、このように支持ピン25に支持されたウエハWを示している。
支持されたウエハWは、支持ピン25からの熱伝導及び凹部21の底面22からの輻射熱により加熱される。既述のように、支持ピン25とウエハWとの接触面積が小さいため、ウエハWへの熱流束が抑えられ、ウエハWの面内に温度勾配、つまり温度差が形成されることが抑えられる。それによって、ウエハWに反りが発生することが抑えられながら、当該ウエハWが昇温される。
前記昇降ピン53の静止後、回転テーブル2が回転し、前記1枚目のウエハWが受け渡された凹部21の隣の凹部21が、搬送口16に臨む位置に向けて移動する。この回転テーブル2の回転中、前記1枚目のウエハWは反りの発生が抑えられているため、凹部21内に収まっている。即ち、当該ウエハWの凹部21の上方への突出が抑えられている。従って、当該1枚目のウエハWは、回転テーブル2の上面に形成されている排気流の圧力を受け難い。そのため、回転テーブル2の回転による遠心力が作用しても、この1枚目のウエハWに加わる力は小さく抑えられ、当該1枚目のウエハWの凹部21内での位置ずれや、凹部21からの脱離が防がれる。
前記隣の凹部21が搬送口16に臨む位置に位置すると、回転テーブル2の回転が停止し、2枚目のウエハWが1枚目のウエハWと同じくこの凹部21に受け渡されて、加熱される(図12)。続いて、3枚目のウエハWの受け渡しを行うために、回転テーブル2が回転し、2枚目のウエハWが搬送された凹部21の隣の凹部21が、前記搬送口16に臨む位置に移動する。この回転中も、反りが抑えられているため、1枚目のウエハW及び2枚目のウエハWの位置ずれや凹部21からの脱離が防がれる。また、この回転中において、昇温中の1枚目のウエハWは、分離領域Dを形成する突状部41及び分離ガスノズル34の下方を通過する(図11)。上記のように反りが抑えられ、凹部21の上方へと突出していないため、当該1枚目のウエハWは、突状部41及び分離ガスノズル34に干渉することなく、移動することができる。
3枚目のウエハWも1枚目、2枚目のウエハWと同様に凹部21に受け渡されて、加熱される。その後も回転テーブル2の回転及び停止が繰り返し行われ、4枚目、5枚目のウエハWが凹部21に受け渡される。そして、この受け渡し動作中、回転テーブル2が回転するときに各凹部21に受け渡されたウエハWは、各々反りが抑えられているため、各突状部41、分離ガスノズル32、34及び反応ガスノズル31、33へ干渉すること無く、移動しながら加熱される。また、凹部21内での位置ずれ、凹部21からの脱離も抑えられる。
5枚目のウエハWの凹部21への受け渡し後、ゲートバルブ17が閉じられる。然る後、停止していた回転テーブル2が回転し、全てのウエハWの温度が回転テーブル2の温度、例えば720℃になるように上昇する。そして、5枚目のウエハWの受け渡しから所定の時間が経過すると、分離ガスノズル32、34及び中心部領域CへのN2ガスの供給量が上昇し、これら各部からのN2ガスの吐出量が上昇する。また、このN2ガスの吐出量の増大に並行して、第1の反応ガスノズル31、第2の反応ガスノズル33から夫々反応ガスが供給され、成膜処理が開始される。
ウエハWは第1の反応ガスノズル31の下方の第1の処理領域P1と第2の反応ガスノズル33の下方の第2の処理領域P2とを交互に通過し、ウエハWにBTBASガスが吸着し、次いでOガスが吸着してBTBAS分子が酸化されて酸化シリコンの分子層が1層あるいは複数層形成される。こうして酸化シリコンの分子層が順次積層されて、所定の膜厚のシリコン酸化膜が成膜される。また、酸化シリコン膜は、このように形成されながら600℃以上に加熱されていることによりアニールされ、酸化シリコンの分子配列の歪みが解消される。
図14では矢印で真空容器11内のガスの流れを示している。分離ガスノズル32、34から前記分離領域Dに供給されたN2ガスが、当該分離領域Dを周方向に広がり、回転テーブル2上でBTBASガスとO3ガスとが混合されることを防ぐ。また、中心部領域Cに供給されたN2ガスが回転テーブル2の径方向外側に供給され、前記中心部領域CでのBTBASガスとO3ガスとの混合が防がれる。また、この成膜処理中には、ガス供給管44、49(図1参照)により、ヒーター収納空間45及び回転テーブル2の裏面側にもN2ガスが供給され、反応ガスがパージされる。
所定の回数、回転テーブル2が回転して所定の膜厚のシリコン酸化膜が形成されると、各ガスノズル31〜34からの各ガスの供給、中心部領域CへのN2ガスの供給流量が低下する。回転テーブル2の回転が停止し、ゲートバルブ17が開放される。ゲートバルブ17が開放され、回転テーブル2の間欠的な回転と昇降ピンの昇降動作とにより、ウエハWが順次搬送機構18に受け渡されて真空容器11の外に搬出される。全てのウエハWが搬出されると、ゲートバルブ17が閉じられる。
然る後、回転テーブル2が再度連続的に回転し、クリーニングガスノズル55から回転テーブル2上にクリーニングガスが供給されてクリーニング処理が開始される。回転テーブル2に供給されたクリーニングガスは、回転テーブル2に成膜された酸化シリコンを分解し、この分解物と共に排気口37へと吸引され、所定の回数、回転テーブル2が回転したら、クリーニングガスの供給が停止すると共に、回転テーブル2の回転が停止して、クリーニング処理が終了する。その後は再び真空容器11内にウエハWが搬送され、成膜処理が行われる。
ところで、既述した各図では、支持ピン25上に受け渡されたときにウエハWに反りが発生していないように示したが、若干の反りが発生する場合もある。図15では、上記のように支持ピン25にウエハWが受け渡されたときに、ウエハWの周縁部の高さが、中央部の高さよりも高くなるように当該ウエハWが反った例を示している。このようにウエハWが反っても、ウエハWの昇温中にウエハWの面内での伝熱が進行して当該面内での温度勾配が緩和されると、次第に反りが解消され、図5で示したように当該ウエハWが平板状に戻る。
図16では、支持ピン25へ受け渡されたときにウエハWが、図15で示したように反る代わりに、その中央部の高さが、周縁部の高さよりも高くなるように反った例を示している。このようにウエハWが反っても、上記のように温度勾配が緩和されると、図5で示したように当該ウエハWが平板状に戻る。
ところで、比較例として挙げた図6の支持ピン25により支持されたウエハWが、前記図15のウエハWと同様に反った例を図17に示している。上記のように図6のウエハWは、支持ピン25が凹部21の中心Pから比較的大きく離れていることにより、周縁部の高さが高くなるように撓んで支持されているため、図15のウエハWと同様の反り量で反ったとしても、回転テーブル2の表面からウエハWの上端までの高さが、より大きくなってしまう。つまり、図15、図17中に夫々示す前記ウエハWの上端までの高さH11、H13については、H11<H13となる。
また、比較例として挙げた図7の支持ピン25により支持されたウエハWが、前記図16のウエハWと同様に反った例を図18に示している。上記のように図7のウエハWは、支持ピン25と凹部21の中心Pとの距離が比較的近いことにより、中央部の高さが高くなるように撓んで支持されているため、図16のウエハWと同様の反り量で反っても、図18に示すように回転テーブル2の表面からウエハWの上端までの高さが大きくなってしまう。つまり、図16、図18中に夫々示す前記ウエハWの上端までの高さH12、H14については、H12<H14となる。
このように支持ピン25を図4で説明したように配置することで、ウエハWに反りが発生しても、凹部21の側壁の上端からのウエハWの突出量を抑えることができる。ウエハWに反りが形成されていても、この突出量が抑えられていれば、回転テーブル2の回転中、気流の影響を受けにくいので、ウエハWの位置ずれが起こり難く、またウエハWが分離領域Dの突状部41や各ノズル31〜34に干渉することも無い。つまり、ウエハWに反りが発生していても、上記のウエハWの移載や成膜処理を行うための回転テーブル2の回転を行うことができる。
この成膜装置1によれば、回転テーブル2の凹部21の底面22上に設けられた、支持ピン25によってウエハWが、その自重による撓みが抑えられた状態で支持されて加熱される。それによって、底面22からウエハWへの伝熱速度が抑えられると共に、ウエハWの面内各部において底面22から受ける輻射熱のばらつきが抑えられることにより、ウエハWに反りが発生することが抑えられる。また、撓みが抑えられて支持されることで、反りが発生した場合の凹部21上へのウエハWの突出が抑えられる。従って、一の凹部21にウエハWが受け渡された後、次の凹部21にウエハWを受け渡すために早いタイミングで回転テーブル2を回転させることができるので、成膜装置1の各凹部21に速やかにウエハWを載置することができる。また、回転テーブル2を回転させた状態で最後に回転テーブル2に受け渡される5枚目のウエハWが設定温度に達するのを待つことができ、設定温度に達した後は、公転する各ウエハWに速やかに反応ガスを供給して成膜処理を行うことができる。つまり、設定温度に達して5枚目のウエハWの反りが解消されてから回転テーブル2の回転を開始するよりも、反応ガスを吐出して成膜処理を開始するタイミングを早くすることができる。このようにウエハWの載置に要する時間を短縮化すると共に、成膜処理の開始のタイミングの早期化を図ることができるため、成膜装置1のスループットを高くすることができる。また、ウエハWの下面(裏面)と支持ピン25との接触面積は比較的小さいので、当該下面が擦られることが抑えられため、パーティクルの発生の低減化を図ることができる。
ウエハWの面内の温度分布を調整したり、ウエハWの下面と凹部21に対する摩擦力を高めて、より確実にウエハWの脱離を防ぐために、支持ピン25に加えて、当該支持ピン25と同様に構成された支持ピン(便宜上、補助用支持ピンとする)を、凹部21の底面22に配置してもよい。つまり、3つの支持ピン25と、前記補助用支持ピンとによりウエハWが底面22上に支持されるようにしてもよい。補助用支持ピンは1つであってもよいし、複数であってもよい。また、支持ピン25としては、ウエハWへの伝熱速度とウエハWの撓みとが抑えられる構成であればよいため、その形状としては円柱形であることには限られず、例えば角柱形であってもよい。
(第2の実施形態)
続いて、第2の実施形態について説明する。第2の実施形態は、回転テーブル2の構成について、第1の実施形態と異なっている。第2の実施形態の回転テーブル2は、テーブル本体61と、底面形成部62とにより構成される。この第2の実施形態の回転テーブル2の上面、縦断側面を、図19、図20に夫々示している。テーブル本体61の上面に設けられる凹部の底部上に、扁平な円形に構成された前記底面形成部62が設けられることで、上記のウエハWの載置領域をなす凹部21が構成されている。つまり、底面形成部62の上面が凹部21の底面22を形成し、底面形成部62の外周が凹部21の溝24を構成している。
テーブル本体61は、石英により構成されている。底面形成部62は、炭化シリコン(SiC)を主成分として構成される本体部63と、本体部63の表面を覆う酸化イットリウム(Y)の被膜64により構成されている。被膜64は、前記クリーニング時に本体部63がクリーニングガスによりエッチングされることを防ぐために設けられている。前記底面形成部62がSiCを主成分として構成されるため、凹部21の底面22は、テーブル本体61よりも熱の伝導性が高く、その面内において温度勾配の形成が抑えられる。
この第2の実施形態においては、図20に示すように第1の実施形態と同様に昇降ピン53によってウエハWが凹部21に向けて搬送され、図21に示すように直接凹部21の底面22にウエハWの下面全体が接触するように載置される。このようにウエハWが載置されるので第1の実施形態に比べてウエハWへの伝熱速度は大きいが、前記底面22内での温度勾配が小さいので、ウエハWの面内の各部において温度勾配が大きくなることが防がれながら、当該ウエハWの面内の各部の温度が上昇する。つまり、ウエハWの各部毎の熱流束の均一性が高く保たれた状態で、ウエハWが加熱される。このようにウエハWの加熱が進行することによって、第1の実施形態と同様に、ウエハWの反りが抑えられる効果が得られる。従って、ウエハWの凹部21上への突出が抑えられるので、第1の実施形態と同じくウエハWの凹部21への受け渡しを速やかに行えると共に、成膜処理の開始のタイミングを早くすることができるという効果がある。
底面22における熱伝導性が、当該底面22の外側を構成すると共に石英からなるテーブル本体61の熱伝導性よりも高ければよく、底面形成部62の材質としては上記の例に限られない。例えば前記本体部63を、SiCを主成分とする代わりに炭素を主成分として構成し、前記被膜64により被覆された構成としてもよい。また、本体部63を、窒化アルミニウム(AlN)を主成分として構成することもできる。前記クリーニングガスは、上記のようにフッ素あるいはフッ素化合物を含んでいるが、AlNは当該クリーニングガスによって腐食されにくいため、本体部63をAlNにより構成する場合、前記被膜64を設けなくてもよい。
上記の第1の実施形態に、この第2の実施形態を組み合わせてもよい。つまり、図22に示すように底面形成部62上に、上記の支持ピン25を設けてもよい。この場合も凹部21の底面22内における温度勾配が抑えられるため、当該底面22各部からのウエハWに供給される輻射熱の熱量のばらつきが抑えられる。従って、より確実にウエハWの面内における温度勾配の形成を抑えることができるので、ウエハWに反りが発生すること及び反りが大きくなることを抑えることができる。
(第3の実施形態)
図23、図24には、第3の実施形態の凹部21の平面図、縦断側面図を夫々示している。第1の実施形態との差異点として、第3の実施形態の凹部21の底面22上には、支持ピン25に代わり、多数の支持ピン71が設けられており、支持ピン71は平面視マトリクス状に配列されている。各支持ピン71は円柱形状に構成され、第1の実施形態の支持ピン25と同様、その上面にウエハWを支持する。図25は、支持ピン71上にウエハWが支持された状態を示している。このようにウエハWを支持することで、支持ピン71は支持ピン25と同様に、ウエハWの下面を凹部21の底面22から浮かせて、ウエハWへの伝熱速度を低減させる。
そのようにウエハWへの伝熱速度を制限するために、支持ピン71とウエハWとの接触面積の合計/ウエハWの下面の面積×100(単位:%)を、ウエハWの支持ピンに対する接触率とすると、この接触率が8%〜12%になるように支持ピン71が設けられている。図23中の支持ピン71の径L3は、例えば5mmである。図24中の支持ピン71の高さH15は、例えば0.01mm〜1mmであり、この図24の例では0.05mmである。第1の実施形態の支持ピン25の高さH1と同様に、この支持ピン71の高さH15は、底面22からの輻射熱により効率良くウエハWを加熱し、且つ凹部21からのウエハWの突出を防ぐことができるように設定される。
この第3の実施形態においても第1の実施形態と同様に凹部21に受け渡されたウエハWの反りを抑えることができ、それによって第1の実施形態と同じくウエハWの凹部21への受け渡しを速やかに行うと共に、成膜処理の開始のタイミングを早くして、成膜装置1のスループットを向上させることができる。ところで多数の支持ピン71のうち3つが、図4で説明した支持ピン25と同じ位置に配置されるように構成してもよいし、そのように配置しなくてもよい。支持ピン71のうち3つを、図4の支持ピン25と同じ位置に配置した場合は、第1の実施形態と同様に、ウエハWに反りが発生した場合においても、凹部21上への当該ウエハWの突出を、より確実に抑えることができる。
図26は、第3の実施形態の変形例であり、図23で示した例に比べると、支持ピン71の本数が少ない。図23に示すように支持ピン71を配置して、ウエハWの受け渡し後、底面22内においてパーティクルの発生が見られる場合、ウエハWが反ることによって支持ピン71と擦れていることが考えられるので、パーティクルが発生した箇所の周辺の支持ピン71を間引くことが有効である。図26は、そのように支持ピン71を間引いた構成の一例を示している。このように支持ピン71の配置のレイアウトとしては、任意に設定することができる。支持ピン71も、第1の実施形態の支持ピン25と同じく円柱形にすることに限られず、任意の形状とすることができる。この第3の実施形態にも第2の実施形態を組み合わせて、凹部21の底面を上記の底面形成部62を用いて構成することができる。
本発明は、成膜装置の他に、処理ガスをプラズマ化して当該プラズマによってウエハWの膜を改質したり、エッチングを行う装置などにも適用することができる。また形成する膜も酸化シリコン膜に限られない。例えばALDで窒化シリコン膜や窒化アルミニウム膜などを形成する場合にも、上記の成膜装置を適用することができる。
(第1の実施形態の変形例)
続いて、第1の実施形態の変形例の凹部21について説明する。図27に示した第1の変形例では、図4で説明した第1の実施形態と異なり、凹部21に支持ピン25が6つ設けられている。図27及び後述の各変形例を示す図中の点線及び2点鎖線は、各支持ピン25の位置関係を明確にするために示す、仮想の線である。また、この第1の変形例を含む各変形例では、凹部21の底面22の溝24を示していないが、第1の実施形態と同様、この溝24は設けてもよいし、設けなくてもよい。
前記6つの支持ピン25のうちの3つを第1グループとすると、この第1グループの支持ピン25は、図4で説明した位置と同じ位置に設けられており、各支持ピン25の上面の中心点を、図4と同じくQ1〜Q3として示している。他の3つの支持ピン25を第2グループとすると、第2グループの支持ピン25の上面の中心点はQ4〜Q6として示している。点Q4〜Q6は、点Q1〜Q3と同じく、上記の点Pを中心とする円周上に位置し、点Q4〜Q6は正三角形の頂点に位置する。そして、点Pから凹部21の底面22を周方向に見ると、Q4〜Q6を頂点とする三角形の頂点と、Q1〜Q3を頂点とする三角形の頂点とが交互に設けられている。前記周方向に隣り合う点Qは、点Pから見てθa=60°離れている。つまり点Q1〜Q6は、正六角形をなす。
このように、ウエハWが支持ピン25により支持される各位置は、ウエハWの中心からウエハWの半径の2/3離れており、且つウエハWの中心を重心とする正六角形の頂点である。この第1の変形例においては、図4の第1の実施形態で説明した位置関係を持つ支持ピン25が2グループ、底面22に分散して設けられることで、より確実に撓みを抑えてウエハWを水平形状に支持することができ、ウエハWの底面22への接触をより確実に防ぐことができる。それによって、ウエハWの温度分布の均一性をより高くし、反りを抑えることができる。この第1の変形例についても、第1の実施形態で説明した支持ピン25と同様に、上記した位置からウエハWを支持する位置が1mmずれた場合においても本発明の権利範囲である。つまり、支持ピン25が厳密に正六角形の頂点に配置されていない場合も権利範囲に含まれる。以下、特に説明が無い限り、後述の他の支持ピンについても同様に誤差が許容される。
図28には、第1の実施形態の第2の変形例の凹部21を示している。この第2の変形例では、第1の変形例で説明した6つの支持ピン25に加えて、当該支持ピン25よりも上記の凹部21の底面の中心点P寄りに、3つの支持ピンが設けられている。説明の便宜上、この3つの支持ピンを内側補助用支持ピン26として説明する。内側補助用支持ピン26は、配置される位置の違いを除いて、支持ピン25と同様に構成されている。
この内側補助用支持ピン(第2の補助用支持ピン)26の上面の中心を点Q11、Q12、Q13として示している。点Q11、Q12、Q13は、点Pを中心とし、ウエハWの半径の1/3の大きさの半径を有する円の円周上に位置する。また、Q1、Q12、Q13は正三角形の頂点に位置する。内側補助用支持ピン26は貫通孔23に干渉しないように設けられている。この例では点Pから周方向に見て隣り合う2つの支持ピン25の点Qに対して、当該2つの支持ピン25の間に位置する内側補助用支持ピン26の点Qは、前記点Pまわりに各々θb=30°ずれるように、各内側補助用支持ピン26が位置している。この第2の変形例については、第1の変形例で説明した2グループの支持ピン25に加え、さらに補助用支持ピン26によりウエハWを支持するので、より確実にウエハWの撓みを抑えて支持することができる。
図29には、第3の変形例の凹部21を示している。この第3の変形例では、第1の変形例で説明した6つの支持ピン25に加えて、当該支持ピン25よりも凹部21の底面22の周縁部側に6つの支持ピンが設けられている。説明の便宜上、この6つの支持ピンを外側補助用支持ピン27として説明する。外側補助用支持ピン27は、配置される位置の違いを除いて支持ピン25と同様に構成されている。
この外側補助用支持ピン(第1の補助用支持ピン)27の上面の中心を点Q21〜Q26として示している。点Q21〜Q26は、ウエハWの周端よりも3mmウエハWの中心寄りの位置を支持するように設けられる。ここでいうウエハWは、上記の300mmウエハWの場合もあるし、450mmウエハWの場合もある。このようにQ21〜Q26を配置することで、外側補助用支持ピン27は、ウエハWの周端に接触しないようにウエハWを支持する。また、前記点Q21〜26は、支持ピン25と同様に正六角形の頂点に位置する。この点Q21〜Q26を頂点とする正六角形の重心(中心)は、支持ピン25の点Q1〜Q6を頂点とする正六角形の重心に一致する。点Pから周方向に見て、交互に支持ピン25と外側補助用支持ピン27とが設けられている。そして、前記周方向に隣り合う支持ピン25及び外側補助用支持ピン27について、当該支持ピン25の点Qと前記点Pとがなす線分と、当該外側補助用支持ピン27の点Qと前記点Pとがなす線分とがなす角をθcとすると、θc=30°である。
この第3の変形例についても第2の変形例と同様に、撓みがより確実に抑えられるようにウエハWを支持することができる。また、図17などに示したように、ウエハWがその中心部が低く周縁部が高くなるように反った場合、ウエハWの中心寄りを支持ピンにより支持していると、凹部21から突出する高さが大きくなってしまうおそれがあるため、前記内側補助用支持ピン26を設けるよりも、当該外側補助用支持ピン27を設けた方が、前記ウエハWの突出する高さを抑えられるため好ましい。
ところで、上記のように外側補助用支持ピン27を設ける理由としては、支持ピン25よりも点Pから離れた位置でウエハWを支持することで、ウエハWを安定に支持することを目的とする。支持ピン25に対して凹部21の底面22の径方向に外側補助用支持ピン27の位置が離れるほど、ウエハWの周縁部における撓みが抑えられる。しかし、ウエハWの周端に支持ピンが接触してしまうと、パーティクルが発生しやすくなるため、既述のようにウエハWの周端に接触しないように外側補助用支持ピン27を設けている。
つまり、外側補助用支持ピン27としては、上記の点Q21〜Q26がウエハWの周端より3mm内側を支持するように設けることには限られない。具体的には、外側補助用支持ピン27は、支持ピン25に支持される位置よりも外側を、点Pを中心とする円周に沿って間隔をおいて支持するように設けられ、且つその上面がウエハWの周端に接触しなければよい。従って、例えば点Q21〜Q26がウエハWの周端より5mm内側を支持するように構成してもよい。ただし、上記したようにウエハWを水平上に安定して載置するためには、支持ピン25から離れた位置を支持した方がよく、且つ支持ピン27がウエハWの周端と接触するリスクを避けることとの兼ね合いから、上記の例では点Q21〜Q26はウエハWの周端の3mm内側を支持するように構成している。なお、外側補助用支持ピン27についても、支持ピン25と同様に誤差が許容されるので、ウエハWを支持する位置が厳密に正六角形になることに限られない。
図30には、第1の実施形態の第4の変形例の凹部21を示している。この第4の変形例においては、第1の変形例で示した6つの支持ピン25に加えて、第2の変形例で示した内側補助用支持ピン26及び第3の変形例で示した外側補助用支持ピン27が設けられている。このように凹部21を形成しても、撓みが抑えられるようにウエハWを支持することができる。これら第1の実施形態の各変形例も、第2及び第3の実施形態に組み合わせることができる。
W ウエハ
D 分離領域
P1、P2 処理領域
1 成膜装置
11 真空容器
2 回転テーブル
21 凹部
22 底面
25、71 支持ピン
31、33 反応ガスノズル
32、34 分離ガスノズル
37 排気口
41 突状部

Claims (12)

  1. 真空容器内にて回転テーブル上に載置した円形の基板を公転させながら、当該基板に対して処理ガスを供給して処理を行う基板処理装置において、
    前記基板を収納するために前記回転テーブルの一面側に形成された凹部と、
    前記基板を600℃以上に加熱して処理するために、前記回転テーブルを加熱する加熱部と、
    前記凹部の底面において正三角形の頂点に各々位置し、基板の中心から当該基板の半径の2/3離れた箇所を各々支持して、前記基板を、当該凹部の底面から浮いた状態で支持するために設けられた3つの支持ピンと、
    を備えたことを特徴とする基板処理装置。
  2. 前記支持ピンは正六角形の頂点に各々位置するように6つ設けられることを特徴とする請求項1記載の基板処理装置。
  3. 前記支持ピンにより支持される基板の位置よりも当該基板の外側、且つ前記基板の周端から当該基板の中心側に離れた位置を支持するために、前記凹部の底面に複数設けられた第1の補助用支持ピンを備えたことを特徴とする請求項1または2記載の基板処理装置。
  4. 前記第1の補助用支持ピンは、前記凹部の底面において6つ、正六角形の頂点に各々位置するように設けられ、
    当該第1の補助用支持ピンによる正六角形の重心は、前記支持ピンによる正六角形の重心と一致することを特徴とする請求項3記載の基板処理装置。
  5. 前記支持ピンにより支持される基板の位置よりも当該基板の内側を支持するために、前記凹部の底面に複数設けられた第2の補助用支持ピンを備えたことを特徴とする請求項1ないし4のいずれか一つに記載の基板処理装置。
  6. 前記基板は、直径300mmサイズのシリコンウエハであることを特徴とする請求項1ないし5のいずれか一つに記載の基板処理装置。
  7. 前記回転テーブルは、前記凹部の底面を構成する底面形成部と、前記底面の外側を構成するテーブル本体と、により構成され、
    前記底面内での温度の均一性を高くして、基板の面内における温度差を抑えるために、前記底面形成部は前記テーブル本体よりも熱伝導性が高い材質を主成分として構成されることを特徴とする請求項1ないし6のいずれか一つに記載の基板処理装置。
  8. 真空容器内にて回転テーブル上に載置した基板を公転させながら基板に対して処理ガスを供給して処理を行う基板処理装置において、
    前記基板を収納するために前記回転テーブルの一面側に形成された凹部と、
    前記基板を600℃以上に加熱して処理するために、前記回転テーブルを加熱する加熱部と、
    前記回転テーブルにおいて前記基板が載置される凹部の底面を構成する底面形成部と、
    前記回転テーブルにおいて前記底面の外側を構成するテーブル本体と、
    を備え、
    前記底面内での温度の均一性を高くして、基板の面内における温度差を抑えるために、前記底面形成部は前記テーブル本体よりも熱伝導性が高い材質を主成分として構成されることを特徴とする基板処理装置。
  9. 前記底面形成部は、炭化シリコン、炭素あるいは窒化アルミニウムを主成分として構成されることを特徴とする請求項7または8記載の基板処理装置。
  10. 前記底面形成部は、表面に酸化イットリウムがコーティングされていることを特徴とする請求項7ないし9のいずれか一つに記載の基板処理装置。
  11. 真空容器内にて回転テーブル上に載置した円形の基板を公転させながら、当該基板に対して処理ガスを供給して処理を行う基板処理装置において、
    前記基板を収納するために前記回転テーブルの一面側に形成された凹部と、
    前記基板を600℃以上に加熱して処理するために、前記回転テーブルを加熱する加熱部と、
    前記凹部の底面から浮いた状態で基板を支持するために、当該底面に設けられる複数の支持ピンと、を備え、
    凹部の底面から基板への伝熱速度を抑えるために、前記支持ピンに支持される前記基板の一面の全体の面積に対して、当該一面における前記支持ピンに接触する面積の割合は、8%〜12%であるように構成されることを特徴とする基板処理装置。
  12. 前記支持ピンの高さは、0.01mm〜1mmであることを特徴とする請求項11記載の基板処理装置。
JP2014034336A 2014-02-25 2014-02-25 基板処理装置 Active JP6303592B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2014034336A JP6303592B2 (ja) 2014-02-25 2014-02-25 基板処理装置
TW104105482A TWI600790B (zh) 2014-02-25 2015-02-17 利用旋轉台之基板處理裝置
US14/628,661 US20150240357A1 (en) 2014-02-25 2015-02-23 Substrate processing apparatus using rotatable table
KR1020150025789A KR101867133B1 (ko) 2014-02-25 2015-02-24 회전 테이블을 이용하는 기판 처리 장치
CN201510087374.6A CN104862668B (zh) 2014-02-25 2015-02-25 利用旋转台的基板处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014034336A JP6303592B2 (ja) 2014-02-25 2014-02-25 基板処理装置

Publications (2)

Publication Number Publication Date
JP2015159248A true JP2015159248A (ja) 2015-09-03
JP6303592B2 JP6303592B2 (ja) 2018-04-04

Family

ID=53881647

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014034336A Active JP6303592B2 (ja) 2014-02-25 2014-02-25 基板処理装置

Country Status (5)

Country Link
US (1) US20150240357A1 (ja)
JP (1) JP6303592B2 (ja)
KR (1) KR101867133B1 (ja)
CN (1) CN104862668B (ja)
TW (1) TWI600790B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017163022A (ja) * 2016-03-10 2017-09-14 大陽日酸株式会社 基板保持部材及び気相成長装置
JP2017220569A (ja) * 2016-06-08 2017-12-14 サムコ株式会社 基板処理装置
JP2018109473A (ja) * 2017-01-05 2018-07-12 東レエンジニアリング株式会社 減圧乾燥装置
WO2020031778A1 (ja) * 2018-08-09 2020-02-13 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20220163269A (ko) 2021-06-02 2022-12-09 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10428425B2 (en) * 2016-01-26 2019-10-01 Tokyo Electron Limited Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10475685B2 (en) * 2016-11-03 2019-11-12 Molecular Imprints, Inc. Substrate loading system
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI756496B (zh) * 2017-11-27 2022-03-01 台灣積體電路製造股份有限公司 加熱台以及具有加熱台的設備
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP7321768B2 (ja) * 2018-05-23 2023-08-07 信越化学工業株式会社 化学気相成長装置および被膜形成方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP2021190552A (ja) * 2020-05-29 2021-12-13 株式会社Screenホールディングス 熱処理装置
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN112018019B (zh) * 2020-08-11 2023-04-28 四川旭茂微科技有限公司 一种跳线吸盘及跳线吸取机构
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022099516A (ja) * 2020-12-23 2022-07-05 東京エレクトロン株式会社 基板の受け渡し方法及び基板受け渡し装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230114751A1 (en) * 2021-10-08 2023-04-13 Applied Materials, Inc. Substrate support
CN114999981B (zh) * 2022-08-02 2022-10-25 四川晁禾微电子有限公司 塑封三极管自动输送加工设备

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09139352A (ja) * 1995-11-15 1997-05-27 Nec Corp 縦型炉用ウェーハボート
JP2001313243A (ja) * 2000-04-28 2001-11-09 Kyocera Corp ウエハ加熱装置
JP2003525524A (ja) * 2000-01-28 2003-08-26 シュテアク エルテーペー システムズ ゲゼルシャフト ミット ベシュレンクテル ハフツング サブストレートの熱処理のための装置
JP2006210846A (ja) * 2005-01-31 2006-08-10 Tokyo Electron Ltd 処理装置及び処理方法
JP2007311726A (ja) * 2006-05-22 2007-11-29 Sharp Corp 気相成長装置および気相成長方法。
JP2008251754A (ja) * 2007-03-29 2008-10-16 Nikon Corp 基板搬送方法及び装置、並びに露光方法及び装置
JP2011077171A (ja) * 2009-09-29 2011-04-14 Mitsubishi Electric Corp 気相成長装置
JP2012044030A (ja) * 2010-08-20 2012-03-01 Showa Denko Kk 化合物半導体の製造装置、化合物半導体の製造方法及び化合物半導体
WO2013022633A2 (en) * 2011-08-08 2013-02-14 Applied Materials, Inc. Substrate support with heater
JP2013051249A (ja) * 2011-08-30 2013-03-14 Tokyo Electron Ltd 基板処理装置及び成膜装置
JP2014017296A (ja) * 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
JP4312394B2 (ja) * 2001-01-29 2009-08-12 日本碍子株式会社 静電チャックおよび基板処理装置
US6789498B2 (en) * 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
EP1612854A4 (en) * 2003-04-07 2007-10-17 Tokyo Electron Ltd LOADING TABLE AND HEAT TREATMENT DEVICE WITH LOADING TABLE
US20050092439A1 (en) * 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
US20060194516A1 (en) * 2005-01-31 2006-08-31 Tokyo Electron Limited Processing apparatus and processing method
US8076252B2 (en) * 2005-07-28 2011-12-13 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
JP2006319344A (ja) * 2006-05-26 2006-11-24 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびそれを搭載した半導体製造装置
EP2321846A4 (en) * 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
JP2010084230A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
JP5478280B2 (ja) * 2010-01-27 2014-04-23 東京エレクトロン株式会社 基板加熱装置および基板加熱方法、ならびに基板処理システム
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
JP5869899B2 (ja) * 2011-04-01 2016-02-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びサセプタカバー
US20130189635A1 (en) * 2012-01-25 2013-07-25 First Solar, Inc. Method and apparatus providing separate modules for processing a substrate
KR20130111029A (ko) * 2012-03-30 2013-10-10 삼성전자주식회사 화학 기상 증착 장치용 서셉터 및 이를 구비하는 화학 기상 증착 장치
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09139352A (ja) * 1995-11-15 1997-05-27 Nec Corp 縦型炉用ウェーハボート
JP2003525524A (ja) * 2000-01-28 2003-08-26 シュテアク エルテーペー システムズ ゲゼルシャフト ミット ベシュレンクテル ハフツング サブストレートの熱処理のための装置
JP2001313243A (ja) * 2000-04-28 2001-11-09 Kyocera Corp ウエハ加熱装置
JP2006210846A (ja) * 2005-01-31 2006-08-10 Tokyo Electron Ltd 処理装置及び処理方法
JP2007311726A (ja) * 2006-05-22 2007-11-29 Sharp Corp 気相成長装置および気相成長方法。
JP2008251754A (ja) * 2007-03-29 2008-10-16 Nikon Corp 基板搬送方法及び装置、並びに露光方法及び装置
JP2011077171A (ja) * 2009-09-29 2011-04-14 Mitsubishi Electric Corp 気相成長装置
JP2012044030A (ja) * 2010-08-20 2012-03-01 Showa Denko Kk 化合物半導体の製造装置、化合物半導体の製造方法及び化合物半導体
WO2013022633A2 (en) * 2011-08-08 2013-02-14 Applied Materials, Inc. Substrate support with heater
JP2013051249A (ja) * 2011-08-30 2013-03-14 Tokyo Electron Ltd 基板処理装置及び成膜装置
JP2014017296A (ja) * 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017163022A (ja) * 2016-03-10 2017-09-14 大陽日酸株式会社 基板保持部材及び気相成長装置
JP2017220569A (ja) * 2016-06-08 2017-12-14 サムコ株式会社 基板処理装置
JP2018109473A (ja) * 2017-01-05 2018-07-12 東レエンジニアリング株式会社 減圧乾燥装置
WO2020031778A1 (ja) * 2018-08-09 2020-02-13 東京エレクトロン株式会社 成膜装置及び成膜方法
CN112513324A (zh) * 2018-08-09 2021-03-16 东京毅力科创株式会社 成膜装置和成膜方法
JPWO2020031778A1 (ja) * 2018-08-09 2021-08-26 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20220163269A (ko) 2021-06-02 2022-12-09 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
JP6303592B2 (ja) 2018-04-04
CN104862668B (zh) 2019-07-23
KR20150100559A (ko) 2015-09-02
TWI600790B (zh) 2017-10-01
TW201542862A (zh) 2015-11-16
KR101867133B1 (ko) 2018-06-12
US20150240357A1 (en) 2015-08-27
CN104862668A (zh) 2015-08-26

Similar Documents

Publication Publication Date Title
JP6303592B2 (ja) 基板処理装置
US10475641B2 (en) Substrate processing apparatus
JP5310512B2 (ja) 基板処理装置
JP5589878B2 (ja) 成膜装置
JP5565242B2 (ja) 縦型熱処理装置
JP6867159B2 (ja) 縁部クリティカルディメンジョンの均一性制御用のプロセスキット
CN102965643B (zh) 基板处理装置和成膜装置
JP2016143836A (ja) 基板処理装置
JP6123688B2 (ja) 成膜装置
TWI579954B (zh) 基板處理裝置及基板處理方法
JP6749225B2 (ja) クリーニング方法
JP6698001B2 (ja) 処理装置及びカバー部材
JP2010059495A (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5093078B2 (ja) 成膜装置
JP2016102242A (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US10790182B2 (en) Substrate holding mechanism and substrate processing apparatus using the same
JP5527106B2 (ja) 真空処理装置
JP6096588B2 (ja) 基板処理装置及び基板処理方法
WO2020241461A1 (ja) ステージ構造体、基板処理装置及びステージ構造体の制御方法
US9953829B2 (en) Image processing apparatus with improved slide printout based on layout data
JP6481363B2 (ja) 成膜装置、成膜方法及び記憶媒体
US20220389581A1 (en) Substrate processing apparatus and substrate processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160905

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170808

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20171228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180219

R150 Certificate of patent or registration of utility model

Ref document number: 6303592

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250