CN102763198A - 感应耦合等离子体反应器中的高效气体离解的方法和设备 - Google Patents

感应耦合等离子体反应器中的高效气体离解的方法和设备 Download PDF

Info

Publication number
CN102763198A
CN102763198A CN2010800429322A CN201080042932A CN102763198A CN 102763198 A CN102763198 A CN 102763198A CN 2010800429322 A CN2010800429322 A CN 2010800429322A CN 201080042932 A CN201080042932 A CN 201080042932A CN 102763198 A CN102763198 A CN 102763198A
Authority
CN
China
Prior art keywords
volume
gas
plasma
internal capacity
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800429322A
Other languages
English (en)
Other versions
CN102763198B (zh
Inventor
罗伊·C·南古伊
萨拉弗野特·辛加
乔恩·C·法
夏尔马·V·帕马斯
阿杰伊·库马尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102763198A publication Critical patent/CN102763198A/zh
Application granted granted Critical
Publication of CN102763198B publication Critical patent/CN102763198B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明的实施例涉及用于以改良的等离子体离解效率将处理气体提供至处理腔室的方法和设备。本发明的一个实施例提供挡板喷嘴组件,该挡板喷嘴组件包括外部主体,外部主体限定连接至处理腔室的延伸容积。处理气体经过延伸容积流至处理腔室,延伸容积暴露至用于等离子体产生的功率源。

Description

感应耦合等离子体反应器中的高效气体离解的方法和设备
技术领域
本发明的实施例一般地涉及衬底处理系统以及相关的衬底处理,例如蚀刻/沉积处理。更具体地,本发明的实施例涉及用于以改良的等离子体离解效率将处理气体提供至处理腔室的方法和设备。
背景技术
微电子装置的制造包括多个不同的阶段,每个阶段包括多种处理。在一个阶段的过程中,具体的处理可以包括将等离子体传至衬底(例如硅衬底)的表面,以改变衬底的物理和材料特性。该处理可以被认为是蚀刻,蚀刻可以包括移除材料以在衬底中形成孔洞、通孔(via)和/或其它开口(在此称为“沟槽”)。
等离子体蚀刻反应器一般用于在半导体衬底中蚀刻沟槽。这些反应器包括腔室,在腔室中支撑衬底。将至少一个反应性气体提供至腔室,且将射频信号耦合至该反应性气体以形成等离子体。等离子体对定位在反应器中的衬底进行蚀刻。衬底还可以耦合至射频信号以在蚀刻过程中对衬底加偏压,来改进蚀刻性能与沟槽轮廓。
这些沟槽轮廓通常需要不同的临界尺寸(critical dimension)。临界尺寸包括宽度、深度、深宽比(aspect ratio)、光阻选择性、侧壁的粗糙度和侧壁的平坦度。这些临界尺寸可以受到各种因素的控制,而这些因素中的二者是蚀刻时间与蚀刻速率,而蚀刻时间与蚀刻速率又进一步取决于被蚀刻的材料和所使用的蚀刻系统的类型。
一种特别重要的材料是硅。硅通孔(through silicon via,“TSV”)蚀刻是一种独特的应用,该应用需要低频偏压和低温环境以在硅衬底中形成深沟槽。然而,在制造过程中,硅通常被会被多层其它材料所覆盖,例如沉积在硅上的氧化物层与金属层。氧化物和金属包括与硅不同的蚀刻需求,例如高频偏压。此外,在沉积处理过程中,当形成沟槽时,可以在蚀刻处理之前将薄膜聚合物层沉积在衬底的层上,以保护沟槽侧壁。该聚合物层可进一步包括与氧化物、金属或硅层不同的蚀刻需求。这些不同的需求会影响并增加所使用的蚀刻系统类型的复杂度。
一种类型的蚀刻系统可包括原位等离子体蚀刻。使用此第一类型的蚀刻系统,可以通过使用移除等离子体和沉积等离子体在单一反应器中交替地在衬底上进行材料的移除与沉积,而形成沟槽。另一种类型的蚀刻系统可包括远程等离子体蚀刻。使用此种第二类型的蚀刻系统,除了在将等离子体引导至定位在主要反应器中的衬底上之前、在远程反应器中产生等离子体之外,可以如在原位系统中一样形成沟槽。除了蚀刻系统的类型以外,用各个系统所进行的蚀刻处理也可改变。某些蚀刻处理利用多处理方法(例如时间多元气体调制(time multiplexed gas modulation;“TMGM”)系统或是博世系统(Bosch system)),该多处理方法包括多种配方处理(例如蚀刻和沉积处理,或是蚀刻、闪蒸(flash)和沉积处理)。TMGM处理对材料进行蚀刻达一段时间,并接着在先前蚀刻的表面上沉积保护膜以保护该表面(通常为沟槽的侧壁)不受到进一步的蚀刻。当形成愈来愈深的沟槽时,这两种处理可以重复。当在不同的材料层中形成不同的沟槽轮廓时,不同类型的蚀刻系统与处理具有特定的优点与缺点。
在蚀刻系统中的材料蚀刻速率通常是源功率的函数。因为更高的源功率会导致处理气体的更高离解率(dissociation rate),所以可以利用更高的源功率来实现更高的蚀刻速率。
本发明的实施例通过在不增加源功率的前提下获得处理气体的更高离解率来增加蚀刻速率,因此增加蚀刻系统的效率。
发明内容
本发明的实施例一般地涉及衬底处理系统以及相关的衬底处理,例如蚀刻/沉积处理。更具体地,本发明的实施例涉及用于以改良的等离子体离解效率将处理气体提供至处理腔室的方法和设备。
本发明的一个实施例提供挡板喷嘴组件,该挡板喷嘴组件包括:外部主体,其限定内部容积,其中,外部主体具有第一入口通道,第一入口通道开到内部容积并适于与气体源连接,并且外部主体具有出口;入口挡板,其设置在内部容积中、并将内部容积划分为第一容积和第二容积,其中,第一入口通道在接近第一容积的第一端处开出,一个或多个开口位于接近第一容积的第二端处,并且一个或多个开口连接第一容积和第二容积;以及出口挡板,其设置在外部主体的出口的上方,其中,出口挡板具有一个或多个第一穿孔,一个或多个第一穿孔开到内部容积的第二容积,并且出口挡板重新引导来自第一穿孔的气流。
本发明的另一个实施例提供衬底处理系统,该衬底处理系统包括:腔室主体,其限定处理容积,其中,腔室主体包括侧壁以及盖,其中,盖具有中央开口,中央开口适于将处理气体引导至处理容积。该衬底处理系统还包括:第一螺线管线圈天线,其设置在腔室主体外侧并位于盖上方,其中,第一螺线管线圈天线与中央开口同轴;以及挡板喷嘴组件,其耦接至盖的中央开口。其中,挡板喷嘴组件包括:腔室延伸部,其设置在盖上方并覆盖盖的中央开口,其中,第一螺线管线圈天线围绕腔室延伸部,腔室延伸部限定延伸容积,延伸容积经由盖的中央开口与处理容积流体连通,腔室延伸部具有开到延伸容积并适于与气体源连接的第一入口通道;气体挡板喷嘴,其设置在延伸容积中并将延伸容积划分为第一容积与第二容积,其中,第一入口通道在接近第一容积的第一端处开出,一个或多个开口位于邻近第一容积的第二端处,并且一个或多个开口连接第一容积与第二容积;以及等离子体挡板,其设置在盖的中央开口中,其中,等离子体挡板具有一个或多个第一穿孔,一个或多个第一穿孔开到延伸容积的第二容积,并且等离子体挡板重新引导来自一个或多个第一穿孔的气流。
本发明的另一个实施例提供处理衬底的方法,该方法包括:将衬底定位在处理腔室的处理容积中,其中,处理腔室包括:限定出处理容积的侧壁和盖;螺线管线圈天线,其设置在腔室主体的外侧;以及腔室延伸部,其设置在盖上方并且被螺线管线圈天线所围绕,其中,腔室延伸部限定出延伸容积,延伸容积经由挡板喷嘴组件与处理容积流体连通。该方法还包括:将第一处理气体流经外部主体而到达处理容积;以及同时将等离子体功率源施加至螺线管线圈天线,以在外部主体的内部容积和处理容积两者内产生第一处理气体的等离子体。
附图说明
为了详细地了解本发明的上述特征,可参照实施例(某些实施例在附图中示出)来更具体地描述上面简要总结的本发明。然而,需注意附图仅示出本发明的典型实施例而不应被视为对本发明范围的限制,因为本发明可允许有其它等效实施例。
图1示意性示出根据本发明的一个实施例的等离子体处理系统。
图2A是根据本发明的一个实施例的衬底蚀刻系统的腔室延伸部的示意性顶视图。
图2B是图2A的腔室延伸部的示意性剖面侧视图,并显示根据本发明的一个实施例的喷嘴挡板组件。
图3A是根据本发明的一个实施例的气体挡板喷嘴的示意性截面图。
图3B是图3A的气体挡板喷嘴的示意性截面图。
图3C是根据本发明的另一个实施例的气体挡板喷嘴的示意性截面图。
图4A是根据本发明的一个实施例的等离子体挡板的示意性顶视图。
图4B是图4A的等离子体挡板的示意性截面图。
图5是根据本发明的另一个实施例的腔室延伸部与喷嘴挡板组件的示意性剖面侧视图。
图6是根据本发明的另一个实施例的腔室延伸部与喷嘴挡板组件的示意性剖面侧视图。
图7是根据本发明的一个实施例的腔室延伸部与喷嘴挡板组件的示意性剖面侧视图。
为了促进理解,尽可能应用相同的附图标记来标示图标中相同的组件。应考虑到一个实施例的元件和特征可有利地结合于其它实施例中而不需特别详述。
具体实施方式
本发明的实施例一般地涉及衬底处理系统以及相关的衬底处理,例如蚀刻/沉积处理。更具体地,本发明的实施例涉及用于以改良的等离子体离解效率将处理气体提供至处理腔室的方法和设备。
本发明的实施例延伸处理气体在暴露于线圈天线下的路径,由此,处理气体增加了反应时间以进行离解。结果,可获得更高的离解率而不用增加源功率。
本发明的一个实施例提供具有腔室延伸部的等离子体反应器,该腔室延伸部限定延伸容积(extension volume),该延伸容积经由喷嘴而与等离子体反应器的处理容积流体连接。延伸容积位于线圈天线的内侧,线圈天线设置在处理容积的外侧。处理气体在到达处理容积(待处理的衬底设置在该处理容积中)之前,处理气体流经延伸容积。处理气体在进入处理容积之前可以在腔室延伸部内离解,因此具有更长的时间进行离解。
在一个实施例中,喷嘴包括设置在延伸容积中的气体挡板喷嘴,以为处理气体提供延伸路径。
在一个实施例中,喷嘴包括设置在处理容积内的等离子体挡板。等离子体挡板使来自腔室延伸部的处理气体改向,以避免接近喷嘴处的高等离子体密度。
在另一个实施例中,喷嘴具有旁通路径,以允许处理气体进入处理容积而不会经过腔室延伸部。在一个实施例中,处理气体可以被馈送通过旁通路径与延伸路径,并通过腔室延伸部。在另一个实施例中,第一处理气体被馈送通过延伸路径并通过腔室延伸部,第二处理气体被馈送通过旁通管线而不会经过腔室延伸部。举例来说,在硅蚀刻处理的过程中,蚀刻气体与沉积气体交替地流至处理腔室,蚀刻气体可以流经延伸路径,以获得增加的等离子体密度,而沉积气体可以流经旁通管线,以使沉积气体仅在处理容积内离解。
图1是示意性示出根据本发明的一个实施例的等离子体处理系统100。图1示出等离子体处理系统100的截面图,该等离子体处理系统100用于对各种衬底进行处理并且容纳各种衬底尺寸。
在一个实施例中,等离子体处理系统100包括限定处理容积41的腔室25。在一个实施例中,腔室25可包括侧壁26和盖43。等离子体处理系统100还包括设置在腔室25的盖43上方的天线组件70。功率源15与匹配网络17耦接至天线组件70,以提供用于产生等离子体的能量。在一个实施例中,天线组件70可包括一个或多个螺线管交错线圈天线,这些线圈天线设置成与等离子体处理系统100的对称轴73同轴。如图1所示,等离子体处理系统100包括设置在盖43上方的外部线圈天线71和内部线圈天线72。在一个实施例中,线圈天线71、72可以独立地受控。应注意到,即使描述等离子体处理系统100中有两个同轴天线,但还可预期其它的构造,例如一个线圈天线、三个或更多个线圈天线构造。
在一个实施例中,内部线圈天线72包括一个或多个电导体,该电导体缠绕为具有小节距的螺旋形、并形成内部天线容积74。当电流经过一个或多个电导体时,在内部线圈天线72的内部天线容积74中建立了磁场。如下所讨论的,本发明的实施例在内部线圈天线72的内部线圈容积74中提供腔室延伸容积,以使用内部线圈容积74中的磁场来产生等离子体。
应注意的是,内部线圈天线72和外部线圈天线71可以根据应用而具有其它形状,例如用以匹配腔室壁的某种形状、或是用以实现处理腔室中的对称性或不对称性。在一个实施例中,内部线圈天线72和外部线圈天线71可以形成形状为超矩形(hyperrectangle)的内部天线容积。
等离子体处理系统100还包括设置在处理容积41中的衬底支撑件40。衬底支撑件40在处理过程中支撑衬底101。在一个实施例中,衬底支撑件40是静电卡盘(electrostatic chuck)。偏压功率20和匹配网络21可以连接至衬底支撑件40。偏压功率20将偏压电位提供至在处理容积41中所产生的等离子体。
在一个实施例中,盖43具有开口44,以允许一个或多个处理气体进入。在一个实施例中,开口44可以设置成接近等离子体处理系统100的中心轴,并与正在进行处理的衬底101的中心相对应。
在一个实施例中,等离子体处理系统100包括设置在盖43上方并覆盖开口44的腔室延伸部51。在一个实施例中,腔室延伸部51设置在天线组件70的线圈天线内部。腔室延伸部51限定延伸容积42,该延伸容积42经由开口44而与处理容积41为流体连通。
在一个实施例中,等离子体处理系统100还包括挡板喷嘴组件55,该挡板喷嘴组件55设置在处理容积41和延伸容积42中穿过开口44。挡板喷嘴组件55将一个或多个处理气体引导经过延伸容积42而进入处理容积41中。在一个实施例中,挡板喷嘴组件55具有旁通路径,以允许处理气体进入处理容积41而不用经过延伸容积42。
因为延伸容积42位于内部天线容积74内,所以处理气体在进入处理容积41之前会在延伸容积42中暴露于内部线圈天线72的磁场。使用延伸容积42会增加处理容积41内的等离子体强度,而不用增加施加至内部线圈天线72或外部线圈天线71的功率。
在一个实施例中,内部线圈天线72或腔室延伸部51的尺寸可以经过调整,以获得期望的等离子体均匀性和/或离解效率。举例来说,通过增加内部线圈天线72的尺寸(例如直径)、或是通过减小延伸容积42的尺寸(例如直径)、或是通过上述两者,可以增加在处理容积41内的等离子体密度的均匀性。然而,当内部线圈天线72的尺寸增加、或者延伸容积42的尺寸减小时,气体离解效率会降低。在一个实施例中,内部线圈天线72的直径介于延伸容积42的直径的约2倍至约4倍之间。
在另一个实施例中,可以通过调整内部线圈天线72的功率水平(例如电流)来调整处理气体的离解率。增加提供至内部线圈天线72的电流可以增加处理气体的离解率。在一个实施例中,可以通过调整内部线圈天线72的电流水平来调整处理容积41内的等离子体的均匀性和/或强度。在一个实施例中,在内部线圈天线72的电流水平调整中,可以将延伸容积42和内部天线容积74的相对尺寸作为因素来考虑。
等离子体处理系统100包括泵30和阀35,以提供处理容积41的真空与排气。等离子体处理系统100还可包括冷却器45以控制等离子体处理系统100的温度。
等离子体处理系统100还包括气体输送系统102,以向处理容积41提供一个或多个处理气体。在一个实施例中,气体输送系统102位于设置成直接与腔室25相邻(例如,在腔室下方)的壳体105中。气体输送系统102选择性地将位于一个或多个气体面板(gas panel)104中的一个或多个气体源耦接至挡板喷嘴组件55,以向腔室25提供处理气体。在一个实施例中,气体输送系统102可以经由腔室延伸部51连接至挡板喷嘴组件55。在一个实施例中,壳体105定位成非常靠近腔室25,以减少在改变气体时的气体过渡时间、使气体使用最少化,并且使废气最少化。
等离子体处理系统100还可包括升降机27,该升降机27用于将衬底支撑件40举起或降低,而该衬底支撑件40在腔室25中支撑衬底101。
腔室25还包括侧壁26,该侧壁26具有下方衬垫22、上方衬垫23和狭缝阀门24。阀35可设置在泵30与腔室25之间,并且阀35可操作以控制腔室25内的压力。
气体输送系统102可用于将至少两个不同的气体混合物以瞬间速率(instantaneous rate)供应至腔室25,如下文将进一步描述的。在一个选择性实施例中,等离子体处理系统100可包括光谱监控器,当在腔室25中形成沟槽时,该光谱监控器可操作以测量蚀刻沟槽的深度和沉积薄膜厚度,并且该光谱监控器具有能够使用其它光谱特征以判定反应器的状态的能力。等离子体处理系统100可以容纳多种衬底尺寸,例如高达约300mm的衬底直径。
提供在硅衬底(在衬底上设置有氧化物和金属层)中蚀刻轮廓(profile)的方法与设备,该轮廓例如为深沟槽和硅通孔(through siliconvia;TSV),其中蚀刻循环包括多个等离子体处理,而这些等离子体处理在单一、全自动的反应器中原位进行。每一个这样的蚀刻循环包括沉积处理、第一蚀刻处理与第二蚀刻处理。各个处理是单独的等离子体处理,且单独的等离子体处理由提供至(支撑衬底的)反应器的腔室中的气体混合物的成分所限定。在各个单独处理过程中,可以将不同成分的气体混合物提供至腔室。反应器一般包括用于产生并维持等离子体的功率源(在此称为“源功率”)、以及用于对衬底加偏压的功率源(在此称为“偏压功率”),各个功率源独立受控。
在一个实施例中,等离子体处理系统100可产生蚀刻衬底沟槽侧壁轮廓,该蚀刻衬底沟槽侧壁轮廓的角度在约85度至约92度范围内呈锥形(taper),而蚀刻衬底沟槽的深度在从约10微米至约500微米的范围内。在一个实施例中,等离子体处理系统100可以耦接至系统,该系统包括金属蚀刻反应器、并且选择性地包括金属蚀刻后钝化腔室。
在一个实施例中,用于产生并维持等离子体处理的功率源15经由功率产生设备耦接至腔室25,该功率产生设备被包围在设置于腔室25上方的外壳11内。功率源15可操作以产生在约12MHz至约13.5MHz范围内的具有脉冲能力的射频、和在约10瓦至约5000瓦范围内的功率,并且功率源15还可以包括动态匹配网络17。在一个示例中,功率源15可操作以产生具有脉冲能力的13MHz的射频。
功率源15可包括双可调谐源(dual tunable source),由此,在蚀刻循环过程中可改变射频。在一个实施例中,功率源15可包括远程等离子体源,该远程等离子体源能够产生高程度的等离子体离解、并可安装至等离子体处理系统100。
当使用远程等离子体源时,等离子体处理系统100还可包括设置在腔室25中的等离子体分配板或一系列的板,以协助将等离子体分配至衬底。在一个实施例中,等离子体处理系统100可包括原位源功率和远程等离子体源功率,其中使用远程等离子体源功率在远程等离子体腔室中产生等离子体,并将该等离子体传送至反应器腔室25,其中原位功率源15将所产生的等离子体维持在腔室25中。在一个实施例中,可执行蚀刻循环,其中在该蚀刻循环的过程中可增加或降低功率范围(即,功率源15的瓦数)。功率源15在蚀刻循环过程中可产生脉冲。
在一个实施例中,用于对衬底101加偏压的偏压功率20耦接至腔室25和衬底支撑件40。偏压功率20可操作以产生具有脉冲能力并介于约10瓦至约500瓦的低功率范围的约2MHz的射频,并且偏压功率20还可包括动态匹配网络21。在一个实施例中,偏压功率20能够产生具有脉冲能力并介于约10瓦至约500瓦的低功率范围的可选择射频,该射频的范围介于约400kHz至约2MHz、介于约100kHz至约2MHz、和介于约100kHz至约13.56MHz,并且偏压功率20还可包括动态匹配网络或固定匹配网络、以及频率调谐器。在一个实施例中,可执行蚀刻循环,其中在蚀刻循环的过程中可增加或降低功率范围(即,偏压功率20的瓦数)。在一个实施例中,蚀刻循环可包括沉积处理、第一蚀刻处理和第二蚀刻处理,其中在第一蚀刻处理过程中使用偏压功率20,并在第二蚀刻处理过程中降低或增加偏压功率20。举例来说,从第一蚀刻处理至第二蚀刻处理,偏压功率的射频可降低或增加。
在蚀刻循环过程中,偏压功率20可产生脉冲。为了使偏压功率20产生脉冲,在蚀刻循环过程中开启和关闭射频功率。偏压功率20的脉冲频率可介于约10Hz至约1000Hz,并且可介于约50Hz至约180Hz。在一个实施例中,在整个蚀刻循环的时间内,功率的开启与关闭均匀地分布。在一个实施例中,可改变遍及蚀刻循环的脉冲的时间安排分布,并且该脉冲的时间安排分布可取决于衬底的成分。偏压功率20开启的时间百分比(即,如上所述的占空比(duty cycle))直接与脉冲频率相关。在一个实施例中,当脉冲频率在约10Hz至约1000Hz范围内时,则占空比介于约2%至约40%的范围内。在一个实施例中,当脉冲频率在约50Hz至约180Hz的范围内时,则占空比介于约5%至约30%的范围内。可以根据进行处理的衬底的材料,来调整偏压功率频率与脉冲频率。
在一个实施例中,冷却器45可操作以控制腔室25内的温度和位于腔室25内的衬底的温度。冷却器45可定位成接近腔室25、并耦接至腔室25。冷却器45可包括低温冷却器(例如在零下使用的热电冷却器),并且还可包括用于超低温度的直接冷却构件。冷却器45可操作以产生约-20摄氏度至约80摄氏度的温度,并定位成接近腔室25以实现更快的反应时间,并可以包括斜升(ramping)能力以允许有某种程度的控制来协助改善蚀刻速率。在一个实施例中,冷却器45能够产生介于约-10摄氏度至约60摄氏度的温度,并可定位成接近腔室25以实现更快的反应时间。在一个实施例中,冷却器45将腔室25的温度由约-10摄氏度降至约-20摄氏度。
在一个实施例中,等离子体处理系统100可操作以利用耦接至腔室25的泵30和阀35来将腔室压力维持在介于约10mTorr(毫托)至约1000mTorr之间。在蚀刻循环过程中可调整腔室压力,以进一步改善沟槽轮廓。举例来说,当从沉积处理转变为蚀刻处理时,腔室压力可以快速下降或增加。泵30可包括涡轮泵(例如2600L/s涡轮泵),该涡轮泵可操作以处理约100sccm至约1000sccm的流动经过腔室25。与泵30结合,阀35可包括节流闸阀,该节流闸阀具有快速反应时间以协助控制处理流动与压力改变。等离子体处理系统100可还包括一个双压力计(dualmanometer),以量测腔室25内的压力。在一个实施例中,等离子体处理系统100可操作以使蚀刻循环过程中的动态压力维持在介于约10mTorr至约250mTorr之间。可选择地,可使用自动节流闸阀控制、或具有预设控制点的阀,并且当改变流动参数时,动态压力可以维持在设定点。
偏压功率可以产生脉冲(例如重复地释放能量),而源功率可以是连续施加的。特别的是,可以使用通过控制系统所设置的产生器脉冲能力来使偏压功率产生脉冲,以由偏压功率提供功率开启的时间百分比(称为“占空比”)。在一个实施例中,脉冲偏压功率的开启时间和关闭时间在整个蚀刻循环过程中是均匀的。举例来说,如果功率开启约3毫秒并关闭约15毫秒,则占空比为约16.67%。脉冲频率(每秒的循环数或赫兹Hz)等于1.0除以开启和关闭期间(秒)的总和。举例来说,当偏压功率开启约3毫秒并关闭约15毫秒(总共约18毫秒)时,则脉冲频率(每秒的循环数)为约55.55Hz。在一个实施例中,可以使用特殊化的脉冲分布,其中在蚀刻循环过程中改变开启/关闭的时间安排。在一个实施例中,通过改变施加至衬底的偏压功率,蚀刻循环可以在沉积和/或蚀刻处理之间转换。偏压功率产生脉冲以协助减少沟槽侧壁呈现扇形(scalloping)、改进光阻选择性、提高蚀刻速率并防止材料界面底切(undercut)。
然而,应注意的是,本发明的各个方面并未限制于使用于硅蚀刻,而是可应用于蚀刻其它材料类型。
如上所讨论的,本发明的实施例提供具有腔室延伸部的等离子体反应器,该腔室延伸部限定延伸容积,该延伸容积经由喷嘴而与等离子体反应器的处理容积流体连接。图2-4是示意性地示出根据本发明的一个实施例的腔室延伸部和挡板喷嘴组件。
图2A是根据本发明的一个实施例的衬底处理系统的腔室延伸部和挡板喷嘴组件201的示意性顶视图。图2B是腔室延伸部和挡板喷嘴组件201的示意性剖面侧视图。
腔室延伸部和挡板喷嘴组件201可以设置在等离子体处理腔室(例如图1的等离子体处理系统100)的气体入口中,以提供所有或部分的处理气体,该处理气体对用于产生等离子体的功率源增加暴露。如图2A所示,腔室延伸部和挡板喷嘴组件201设置在盖43的开口44中且位于内部线圈天线72内。
腔室延伸部和挡板喷嘴组件201包括腔室延伸部210,该腔室延伸部210设置在盖43外侧、覆盖开口44、并在中间限定延伸容积211。延伸容积211被内部线圈天线72所围绕,延伸容积211内的任何处理气体可以受到施加至内部线圈天线72的源功率作用而离解。
在一个实施例中,腔室延伸部210可以基本为圆柱形。腔室延伸部210可包括圆柱形侧壁218和顶部219。圆柱形侧壁218和顶部219限定出延伸容积211。腔室延伸部210具有连接至侧壁218的入口延伸部217。气体入口通道213、214可以形成为穿过入口延伸部并开启至延伸容积211。气体入口通道213、214可以连接至气体输送系统,并将一个或二个气体混合物引导至延伸容积211。腔室延伸部210还具有底部开口215,该开口215提供用于延伸容积211中的处理气体的一个出口。腔室延伸部210可经设置,以使得开口215与盖43的开口44为同轴。
腔室延伸部和挡板喷嘴组件201还包括设置在延伸容积211内的气体挡板喷嘴220。气体挡板喷嘴220是腔室延伸部210的入口挡板,以提供进入腔室延伸部210的气体的限制路径。气体挡板喷嘴220将处理气体从气体入口通道213、214经由腔室延伸部210引导至开口215。在一个实施例中,气体挡板喷嘴220对于至少一个处理气体产生延伸路径,以增加暴露至功率源。
在一个实施例中,气体挡板喷嘴220可以是竖直挡板。在一个实施例中,气体挡板喷嘴220是竖直设置在延伸容积211内的中空圆柱。如图2B所示,气体挡板喷嘴220将延伸容积211划分为外部容积227与内部容积228。外部容积227限定在腔室延伸部210的侧壁218与气体挡板喷嘴220的外表面之间。内部容积228由气体挡板喷嘴220的内表面与腔室延伸部210的顶部219所限定。
图3A是根据本发明的一个实施例的气体挡板喷嘴220的示意性截面图。图3B是气体挡板喷嘴220的示意性截面图。在一个实施例中,气体挡板喷嘴220可具有形成在上方端224上的多个槽221。槽221允许从外部容积227至内部容积228的流体连通。在一个实施例中,气体挡板喷嘴220具有形成在下方端223附近的凸缘222。如图2B所示,当气体挡板喷嘴220设置在腔室延伸部210中时,凸缘222会将旁通容积226与外部容积227分隔开。
在一个实施例中,入口通道213的开口在外部容积227的下方端附近。来自入口通道213的处理气体在进入处理腔室的处理容积41之前,在接近下方端处进入外部容积227、并在外部容积227中往上行进、经过多个槽221、然后进入内部容积228。通过气体挡板喷嘴使220来自入口通道213的处理气体的路径延伸,以延长暴露于功率源。
图3C是根据本发明的另一个实施例的气体挡板喷嘴220a的示意性截面图。除了位于外侧的沟槽225之外,气体挡板喷嘴220a与气体挡板喷嘴220类似。在一个实施例中,沟槽225是一个或多个螺旋形沟槽,该螺旋形沟槽提供在外部容积227中的涡流路径。涡流路径将处理气体在腔室延伸部210内的路径延伸得更长。
往回参照图2B,来自入口通道214的处理气体进入旁通容积226、然后经由旁通通道236而进入处理容积41。该布置允许有腔室延伸部210的旁通,因而避免延长暴露于功率源。硅蚀刻处理过程中的沉积气体可使用该路径,以有效地使用沉积气体。
腔室延伸部和挡板喷嘴组件201还包括设置在开口44中的等离子体挡板230。等离子体挡板230是腔室延伸部210的出口挡板,以提供离开腔室延伸部210的气体的限制路径。在一个实施例中,等离子体挡板230可具有凸缘237,该凸缘237允许等离子体挡板230支撑在开口44中所形成的凹部内。等离子体挡板230在内部容积228与旁通容积226中为处理气体提供通往处理腔室中的处理容积41的路径。等离子体挡板230还提供挡板233,以重新引导来自腔室延伸部210的气流(特别的是,重新引导任何的等离子体流)以避免在开口44附近集中的等离子体密度。
图4A是根据本发明的一个实施例的等离子体挡板230的示意性顶视图。图4B是等离子体挡板230的示意性截面图。如图4B所示,等离子体挡板230可包括阻挡板231、从阻挡板231延伸的杆232、以及设置在杆232的末端上的挡板233。
阻挡板231支撑在盖43的开口44中。在一个实施例中,多个穿孔234形成在阻挡板231中。穿孔234允许气流从内部容积228到达处理容积41。挡板233防止来自穿孔234的气流直接到达设置在开口44下方的衬底。挡板233将气流引导至旁边。
在一个实施例中,等离子体挡板230具有形成在杆232和挡板233中的中央通道235。中央通道235在挡板233处向处理容积41开口。在一个实施例中,中央通道235并不会穿过阻挡板231。在一个实施例中,多个旁通通道236形成于阻挡板231中而将旁通容积226连接至中央通道235。参照图2B,来自入口通道214的处理气体可进入旁通容积226、穿过旁通通道236而至中央通道235、然后到达处理腔室的处理容积41。
在一个实施例中,等离子体挡板230形成为单一部件(one piece)。在另一个实施例中,等离子体挡板230形成为易于组装的多个部件。特别的是,阻挡板231可包括两部件分离杆232,以在挡板233大于开口44时能允许安装。在另一个实施例中,挡板233可以与杆232和阻挡板231是分离部件。
图5是根据本发明的另一个实施例的腔室延伸部与挡板喷嘴组件301的示意性剖面侧视图。
腔室延伸部与挡板喷嘴组件301包括与图2A-2B的腔室延伸部与挡板喷嘴组件201相同的腔室延伸部210和气体挡板喷嘴220。腔室延伸部与挡板喷嘴组件301包括等离子体挡板330,该等离子体挡板330包括多个部件。等离子体挡板330包括二个或更多个阻挡板331,该阻挡板331在杆332的周围形成夹钳(clamp)。挡板333形成在杆332的末端。挡板333大于盖43中的开口44。穿孔334形成为穿过阻挡板331,以供来自腔室延伸部210的气体流动。旁通通道336a、336b分别形成在阻挡板331和杆332中。旁通通道336b开口至形成在杆332和挡板333中的中央通道335。
图6是根据本发明的另一个实施例的腔室延伸部与挡板喷嘴组件401的示意性剖面侧视图。腔室延伸部与挡板喷嘴组件401包括等离子体挡板430,该等离子体挡板430包括挡板433和阻挡板431。腔室延伸部和挡板喷嘴组件401与腔室延伸部与挡板喷嘴组件301类似,除了中央通道435形成为穿过等离子体挡板430,以允许腔室延伸部210中的一部分气体直接流向处理腔室而不会被挡板433所重新导向。在一个实施例中,交叉通道437、436可形成在阻挡板431中,以将来自入口通道214的一部分处理气体引导经过通道436和中央通道435,而不会被挡板433所重新导向,并且将来自入口通道的另一部分气体引导经过通道437、然后被挡板433重新导向。
图7是根据本发明的另一个实施例的腔室延伸部和挡板喷嘴组件501的示意性剖面侧视图。腔室延伸部和挡板喷嘴组件501包括腔室延伸部510与等离子体挡板530,该等离子体挡板530包括阻挡板531和挡板533。除了在阻挡板531中并未形成旁通通道之外,腔室延伸部和挡板喷嘴组件501与腔室延伸部和挡板喷嘴组件401类似。所有的处理气体经过腔室延伸部210,并受到延长的等离子体源暴露。一部分的处理气体经过多个穿孔534并被挡板533重新导向,而另一部分的处理气体经过中央通道535而不被重新导向。
如上所述,本发明的实施例可用于执行多种等离子体处理,例如用于硅通孔(TSV)的蚀刻处理,在该蚀刻处理期间,蚀刻气体的混合物和沉积气体的混合物交替地供应至等离子体腔室。
在TSV蚀刻处理期间,进行处理的衬底可设置在等离子体反应器中,例如图1所示的处理系统100。衬底可以定位在处理容积41中的衬底支撑件40上。
然后,蚀刻气体的混合物经由腔室延伸部51而流至处理容积41,同时将功率源施加至螺线管线圈天线71、72,以在延伸容积42和处理容积41内都产生蚀刻气体的等离子体。在一个实施例中,天线71、72的功率源可以单独地受到控制而用于等离子体产生。在一个实施例,蚀刻气体可同时流经旁通通道,以在处理容积41中获得等离子体与非离解蚀刻气体的某种混合物。
在停止蚀刻气体的流动之后,可将沉积气体流至处理容积41,功率源则施加至天线71、72以进行沉积处理。在一个实施例中,施加至天线71、72的功率源分布可以从蚀刻处理调整,以获得处理容积41中的等离子体均匀性。在一个实施例中,沉积气体可以流经旁通路径而不经过腔室延伸部51,以避免沉积气体的提早离解与浪费。在另一个实施例中,沉积气体可以流经旁通路径与腔室延伸部51。
在一个实施例中,蚀刻与沉积处理重复直到通孔(via)形成为止。
尽管前述涉及本发明的实施例,但是可以在不脱离其基本范围的情况下得出本发明的其他和进一步的实施例,并且本发明的范围由权利要求书确定。

Claims (15)

1.一种挡板喷嘴组件,其包括:
外部主体,其限定内部容积,其中,所述外部主体具有第一入口通道,所述第一入口通道开到所述内部容积并适于与气体源连接,并且所述外部主体具有出口;
入口挡板,其设置在所述内部容积中、并将所述内部容积划分为第一容积和第二容积,其中,所述第一入口通道在接近所述第一容积的第一端处开出,一个或多个开口位于接近所述第一容积的第二端处,并且所述一个或多个开口连接所述第一容积和所述第二容积;以及
出口挡板,其设置在所述外部主体的所述出口的上方,其中,所述出口挡板具有一个或多个第一穿孔,所述一个或多个第一穿孔开到所述内部容积的所述第二容积,并且所述出口挡板重新引导来自所述第一穿孔的气流。
2.根据权利要求1项所述的挡板喷嘴组件,其中,所述出口挡板包括:
阻挡板,其设置在所述外部主体的所述出口的上方,其中,所述一个或多个第一穿孔形成在所述阻挡板中;
杆,其从所述阻挡板在与所述外部主体相反的一侧延伸;以及
挡板,其位于所述杆的末端上,其中,所述挡板与所述阻挡板基本平行。
3.根据权利要求2项所述的挡板喷嘴组件,其中,所述外部主体具有第二入口通道,所述第二入口通道开到所述内部容积并适于与气体源连接,所述入口挡板还将所述内部容积划分出第三容积,并且所述第二入口通道开到所述第三容积。
4.根据权利要求3项所述的挡板喷嘴组件,其中,所述出口挡板具有中央气体通道和一个或多个旁通通道,所述中央气体通道形成在所述杆中并穿过所述挡板,所述一个或多个旁通通道将所述中央气体通道连接至所述第三容积。
5.根据权利要求4项所述的挡板喷嘴组件,其中,所述中央气体通道是所述出口挡板中的穿孔,并与所述第二容积流体连通。
6.根据权利要求1项所述的挡板喷嘴组件,其中,所述外部主体包括限定出所述内部容积的侧壁和顶部,并且所述出口是与所述顶部相对的开口,所述入口挡板包括侧壁,所述入口挡板的侧壁与所述外部主体的侧壁基本平行,所述入口挡板的侧壁将所述内部容积划分为所述第一容积和所述第二容积,所述第一容积由所述外部主体的侧壁和所述入口挡板的侧壁所限定,而所述第二容积由所述入口挡板的侧壁和所述外部主体的所述顶部所限定。
7.根据权利要求6项所述的挡板喷嘴组件,其中,所述入口挡板的侧壁具有形成在上方侧上的多个槽,并且所述多个槽与所述外部主体的所述顶部形成连接所述第一容积和所述第二容积的所述一个或多个开口。
8.根据权利要求6项所述的挡板喷嘴组件,其中,所述内部容积是基本圆柱形,并且所述入口挡板是圆柱形侧壁,所述圆柱形侧壁具有形成在外侧的螺旋形沟槽,所述螺旋形沟槽允许有在所述第一容积中的涡流。
9.一种衬底处理系统,其包括:
腔室主体,其限定处理容积,其中,所述腔室主体包括:
侧壁;以及
盖,其中,所述盖具有中央开口,所述中央开口适于将处理气体引导至所述处理容积;
螺线管线圈天线,其设置在所述腔室主体外侧且位于所述盖上方,其中,所述螺线管线圈天线形成内部天线容积、并与所述中央开口同轴;以及
根据权利要求1至8中任一权利要求的挡板喷嘴组件,其耦接至所述盖的所述中央开口、并部分地设置在所述内部天线容积中。
10.根据权利要求9所述的衬底处理系统,其中,所述螺线管线圈天线包括一个或多个导体,所述导体缠绕为螺旋形,并且所述内部天线容积是基本圆柱形。
11.一种处理衬底的方法,其包括如下步骤:
将衬底定位在根据权利要求9或10的处理腔室的处理容积中;
将第一处理气体流经外部主体而到达所述处理容积;以及同时
将等离子体功率源施加至螺线管线圈天线,以在所述外部主体的内部容积和所述处理容积两者内产生所述第一处理气体的等离子体。
12.根据权利要求11项所述的方法,其中,将所述第一处理气体流经所述内部容积而至所述处理容积的步骤包括:
将所述第一处理气体流经设置在所述内部容积中的入口挡板;以及
使用设置在盖的中央开口下方并位于所述处理容积内的出口挡板,来重新引导从所述内部容积流出的所述第一处理气体。
13.根据权利要求12项所述的方法,还包括:在将所述第一处理气体流经所述内部容积而到达所述处理容积的同时,使所述第一处理气体流经旁通通道到达所述处理容积、而不用经过所述内部容积。
14.根据权利要求11项所述的方法,其中,将所述等离子体功率源施加至所述螺线管线圈天线以在所述内部容积和所述处理容积两者内产生所述第一处理气体的等离子体的步骤包括:通过增加所述螺线管线圈天线的尺寸、或者通过增加所述等离子体功率源的功率水平,来降低所述第一处理气体的离解率。
15.根据权利要求11项所述的方法,其中,将所述等离子体功率源施加至所述螺线管线圈天线以在所述内部容积和所述处理容积两者内产生所述第一处理气体的等离子体的步骤包括:通过增加所述螺线管线圈天线的尺寸,来提高所述处理容积中的等离子体均匀性。
CN201080042932.2A 2009-09-25 2010-09-09 感应耦合等离子体反应器中的高效气体离解的方法和设备 Expired - Fee Related CN102763198B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US24586909P 2009-09-25 2009-09-25
US61/245,869 2009-09-25
PCT/US2010/048269 WO2011037757A2 (en) 2009-09-25 2010-09-09 Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor

Publications (2)

Publication Number Publication Date
CN102763198A true CN102763198A (zh) 2012-10-31
CN102763198B CN102763198B (zh) 2015-05-06

Family

ID=43779142

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080042932.2A Expired - Fee Related CN102763198B (zh) 2009-09-25 2010-09-09 感应耦合等离子体反应器中的高效气体离解的方法和设备

Country Status (6)

Country Link
US (2) US8753474B2 (zh)
JP (1) JP5728482B2 (zh)
KR (1) KR101450015B1 (zh)
CN (1) CN102763198B (zh)
TW (2) TW201511122A (zh)
WO (1) WO2011037757A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425324A (zh) * 2013-09-03 2015-03-18 朗姆研究公司 使反应器中压强脉冲与射频调节协调的系统、方法及设备
CN108140575A (zh) * 2015-10-29 2018-06-08 应用材料公司 用于原子精度蚀刻的独立控制等离子体密度、自由基组成及离子能量的低电子温度蚀刻腔室
CN113707527A (zh) * 2020-05-21 2021-11-26 江苏鲁汶仪器有限公司 一种阻挡等离子体反流的分离式进气结构
CN114501764A (zh) * 2022-01-26 2022-05-13 江苏神州半导体科技有限公司 基于多线圈耦合的气体解离电路控制装置及系统
CN116741614A (zh) * 2023-08-15 2023-09-12 无锡邑文电子科技有限公司 刻蚀设备

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101450015B1 (ko) 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
US9111722B2 (en) * 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US20150187563A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
JP6746209B2 (ja) * 2016-08-31 2020-08-26 株式会社ディスコ プラズマエッチング装置
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10283329B2 (en) * 2017-07-10 2019-05-07 Applied Materials, Inc. ICP source for M and W-shape discharge profile control
CN111524780B (zh) * 2019-02-02 2024-07-05 中微半导体设备(上海)股份有限公司 一种用于超深宽比刻蚀的等离子反应器及其刻蚀方法
GB202020822D0 (en) * 2020-12-31 2021-02-17 Spts Technologies Ltd Method and apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1359531A (zh) * 1999-06-30 2002-07-17 兰姆研究公司 半导体加工的气体分布装置
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070145021A1 (en) * 2005-12-23 2007-06-28 Wang Ing-Yann A Highly Efficient Gas Distribution Arrangement For Plasma Tube Of A Plasma Processing Chamber

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU612118A1 (ru) * 1976-06-01 1978-06-25 Ордена Ленина, Ордена Трудового Красного Знамени Московский Станкостроительный Завод Имени Серго Орджоникидзе Радиационна газова горелка
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US20030010453A1 (en) 1998-03-18 2003-01-16 Jyunichi Tanaka Plasma processing apparatus and plasma processing method
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
WO2002052062A1 (fr) * 2000-12-27 2002-07-04 Tokyo Electron Limited Dispositif de traitement
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
TWI241868B (en) 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
US6849554B2 (en) 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6846746B2 (en) 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US7074723B2 (en) 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6900133B2 (en) 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7571698B2 (en) * 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US20060177600A1 (en) * 2005-02-08 2006-08-10 Applied Materials, Inc. Inductive plasma system with sidewall magnet
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR100683174B1 (ko) * 2005-06-17 2007-02-15 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
KR100698618B1 (ko) * 2005-07-12 2007-03-22 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
US7811411B2 (en) * 2005-08-09 2010-10-12 Applied Materials, Inc. Thermal management of inductively coupled plasma reactors
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
KR100655445B1 (ko) 2005-10-04 2006-12-08 삼성전자주식회사 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080156631A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US7572647B2 (en) * 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
KR100978859B1 (ko) * 2008-07-11 2010-08-31 피에스케이 주식회사 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
CN101962754B (zh) * 2009-07-24 2013-03-20 鸿富锦精密工业(深圳)有限公司 镀膜装置
KR101450015B1 (ko) * 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
US9404180B2 (en) * 2010-03-16 2016-08-02 Tokyo Electron Limited Deposition device
US8920599B2 (en) * 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
KR101279353B1 (ko) * 2011-03-10 2013-07-04 (주)제이하라 플라즈마 발생장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1359531A (zh) * 1999-06-30 2002-07-17 兰姆研究公司 半导体加工的气体分布装置
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070145021A1 (en) * 2005-12-23 2007-06-28 Wang Ing-Yann A Highly Efficient Gas Distribution Arrangement For Plasma Tube Of A Plasma Processing Chamber

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425324A (zh) * 2013-09-03 2015-03-18 朗姆研究公司 使反应器中压强脉冲与射频调节协调的系统、方法及设备
CN104425324B (zh) * 2013-09-03 2017-10-03 朗姆研究公司 使反应器中压强脉冲与射频调节协调的系统、方法及设备
CN108140575A (zh) * 2015-10-29 2018-06-08 应用材料公司 用于原子精度蚀刻的独立控制等离子体密度、自由基组成及离子能量的低电子温度蚀刻腔室
CN113707527A (zh) * 2020-05-21 2021-11-26 江苏鲁汶仪器有限公司 一种阻挡等离子体反流的分离式进气结构
CN113707527B (zh) * 2020-05-21 2022-07-29 江苏鲁汶仪器有限公司 一种阻挡等离子体反流的分离式进气结构
CN114501764A (zh) * 2022-01-26 2022-05-13 江苏神州半导体科技有限公司 基于多线圈耦合的气体解离电路控制装置及系统
CN114501764B (zh) * 2022-01-26 2024-02-09 江苏神州半导体科技有限公司 基于多线圈耦合的气体解离电路控制装置及系统
CN116741614A (zh) * 2023-08-15 2023-09-12 无锡邑文电子科技有限公司 刻蚀设备
CN116741614B (zh) * 2023-08-15 2023-10-31 无锡邑文电子科技有限公司 刻蚀设备

Also Published As

Publication number Publication date
KR101450015B1 (ko) 2014-10-13
US20140256148A1 (en) 2014-09-11
US8753474B2 (en) 2014-06-17
TW201123291A (en) 2011-07-01
KR20120073292A (ko) 2012-07-04
WO2011037757A2 (en) 2011-03-31
WO2011037757A3 (en) 2011-06-23
US9070633B2 (en) 2015-06-30
US20110073564A1 (en) 2011-03-31
CN102763198B (zh) 2015-05-06
TW201511122A (zh) 2015-03-16
JP2013506292A (ja) 2013-02-21
JP5728482B2 (ja) 2015-06-03

Similar Documents

Publication Publication Date Title
CN102763198A (zh) 感应耦合等离子体反应器中的高效气体离解的方法和设备
KR102405728B1 (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
US9472412B2 (en) Procedure for etch rate consistency
US9406523B2 (en) Highly selective doped oxide removal method
TWI520212B (zh) 選擇性氮化鈦蝕刻
US9159606B1 (en) Metal air gap
US9412608B2 (en) Dry-etch for selective tungsten removal
US9165786B1 (en) Integrated oxide and nitride recess for better channel contact in 3D architectures
TWI607503B (zh) 具有多個電漿配置構件之半導體處理系統
US20150371864A1 (en) Low temperature gas-phase carbon removal
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20140099794A1 (en) Radical chemistry modulation and control using multiple flow pathways
US20140134842A1 (en) Dry etch process
TW201448041A (zh) 氮化鈦之選擇性移除
US11725278B2 (en) Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
WO2015094495A1 (en) Procedure for etch rate consistency
TW201443992A (zh) 使用遠端電漿源之加強式蝕刻製程
TW201941664A (zh) 用於均勻電漿處理的噴嘴
CN113383409A (zh) 多位置注入气体以提高快速交替工艺中的均匀性

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150506

Termination date: 20160909

CF01 Termination of patent right due to non-payment of annual fee