CN100401491C - 时分复用处理中的包络跟随器终点检测 - Google Patents
时分复用处理中的包络跟随器终点检测 Download PDFInfo
- Publication number
- CN100401491C CN100401491C CNB2004800125707A CN200480012570A CN100401491C CN 100401491 C CN100401491 C CN 100401491C CN B2004800125707 A CNB2004800125707 A CN B2004800125707A CN 200480012570 A CN200480012570 A CN 200480012570A CN 100401491 C CN100401491 C CN 100401491C
- Authority
- CN
- China
- Prior art keywords
- time division
- division multiplexing
- emission intensity
- plasma emission
- etching
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000000034 method Methods 0.000 title claims abstract description 127
- 230000008569 process Effects 0.000 title claims abstract description 45
- 238000001514 detection method Methods 0.000 title claims description 18
- 238000005530 etching Methods 0.000 claims abstract description 74
- 238000004422 calculation algorithm Methods 0.000 claims abstract description 51
- 239000000758 substrate Substances 0.000 claims abstract description 31
- 238000012544 monitoring process Methods 0.000 claims abstract description 25
- 238000012545 processing Methods 0.000 claims description 44
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 31
- 238000001914 filtration Methods 0.000 claims description 31
- 229910052710 silicon Inorganic materials 0.000 claims description 30
- 239000010703 silicon Substances 0.000 claims description 30
- 239000000463 material Substances 0.000 claims description 17
- 238000004458 analytical method Methods 0.000 claims description 16
- 238000000151 deposition Methods 0.000 claims description 15
- 238000001228 spectrum Methods 0.000 claims description 15
- 230000004044 response Effects 0.000 claims description 12
- 238000002161 passivation Methods 0.000 claims description 10
- 238000007619 statistical method Methods 0.000 claims description 8
- 238000003705 background correction Methods 0.000 claims description 7
- 239000000284 extract Substances 0.000 claims description 6
- 238000000556 factor analysis Methods 0.000 claims description 6
- 230000000737 periodic effect Effects 0.000 claims description 6
- 238000004364 calculation method Methods 0.000 claims description 5
- 238000012958 reprocessing Methods 0.000 claims 4
- 238000000605 extraction Methods 0.000 claims 1
- 238000001636 atomic emission spectroscopy Methods 0.000 abstract description 21
- 230000008021 deposition Effects 0.000 abstract description 2
- 238000005516 engineering process Methods 0.000 description 12
- 238000012423 maintenance Methods 0.000 description 10
- 238000000295 emission spectrum Methods 0.000 description 9
- 230000003595 spectral effect Effects 0.000 description 7
- 238000009832 plasma treatment Methods 0.000 description 6
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 6
- 230000008859 change Effects 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 238000009616 inductively coupled plasma Methods 0.000 description 4
- 238000001637 plasma atomic emission spectroscopy Methods 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 230000007704 transition Effects 0.000 description 4
- 230000006872 improvement Effects 0.000 description 3
- 230000014759 maintenance of location Effects 0.000 description 3
- 238000005096 rolling process Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 230000009466 transformation Effects 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 229920006254 polymer film Polymers 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 238000005070 sampling Methods 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 description 2
- 230000001360 synchronised effect Effects 0.000 description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- 125000002015 acyclic group Chemical group 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 230000000875 corresponding effect Effects 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 238000012827 research and development Methods 0.000 description 1
- 238000001878 scanning electron micrograph Methods 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 230000002269 spontaneous effect Effects 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00436—Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
- B81C1/00555—Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
- B81C1/00563—Avoid or control over-etching
- B81C1/00587—Processes for avoiding or controlling over-etching not provided for in B81C1/00571 - B81C1/00579
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
- H01J37/32963—End-point detection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0128—Processes for removing material
- B81C2201/013—Etching
- B81C2201/0132—Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Geometry (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Time-Division Multiplex Systems (AREA)
- Television Systems (AREA)
- Supplying Of Containers To The Packaging Station (AREA)
- ing And Chemical Polishing (AREA)
Abstract
本发明提供一种用于在交替循环蚀刻处理或时分复用处理期间确立终点的方法和设备。把衬底放置在一个等离子体室中并进行具有一个蚀刻步骤和一个淀积步骤的交替循环处理。利用公知的光发射光谱测定技术,监测等离子体发射强度的变化。利用包络跟随器算法,从等离子体发射强度的复杂波形之中提取幅度信息。当在根据监测步骤的一定时间到达终点时中断交替循环处理。
Description
相关专利的交叉参考
本申请要求共同拥有的2003年5月9日提交的美国临时专利申请No.60/469,333的优先权,其发明名称:时分复用处理中的包络跟随器终点检测,并且与其相关,在此引用此临时专利申请。
技术领域
本发明总的来说涉及半导体晶片处理的领域。更具体而言,本发明是关于在时分复用蚀刻和淀积处理期间确定蚀刻处理的终点。
背景技术
在许多微机电(MEMS)器件的制造期间,需要蚀刻一层材料以便在该层之下完全停止(例如,绝缘体上硅(SOI):清除硅(Si)层而停止在其下的二氧化硅(SiO2)层)。随着蚀刻处理进行,一旦超过第一层已经被去除掉的时间,就会导致下停止层的厚度减少、或者特征轮廓劣化(本领域中对于SOI应用所公知的“凹陷”)。
因此,在等离子体处理工艺诸如蚀刻中,精确判定等离子体处理的终点,以便无延迟地终止等离子体处理,是极重要的。作为一种用于检测等离子体处理的终点的方法,本领域众所周知的一种方法是,检测处理室中的等离子体之中所包含的特定物质的光谱的任何变化,基于这种变化检测出终点。这种方法是从等离子体中的物质随衬底蚀刻的进行而改变的观测结果想到的,其目的在于通过监测特定物质的光谱强度的变化来精确检测蚀刻处理的实时终点。通常用于检测等离子体工艺终止时间的这种方法就是光发射光谱测定法(OES)。
OES分析从等离子体源发射出的光以便进行有关等离子体处理的化学状态和物理状态的推断。在半导体处理中,这种技术通常用于检测等离子体蚀刻处理期间的材料界面。OES技术包含监测由等离子体发射的射线,通常位于光谱的紫外光/可见光范围(200nm-1100nm)部分。图1示出了典型OES配置的示意图。等离子体的成分且特别是反应性蚀刻物质或蚀刻副产品的出现将决定发射射线的光谱(即,强度与波长)。在蚀刻处理过程期间,特别在材料转变点时,等离子体的成分改变,从而导致发射光谱的变化。通过连续监测等离子体发射,就能够用OES终点系统来检测出此变化并利用它来确定薄膜被完全清除的时间。例如,当OES信号下降至预定阈值水平之下时,就利用这种转变来触发“终点”。实际上,大多数有关终点的信息通常包含在对应于消耗的反应物或在蚀刻期间产生的蚀刻副产品的几个波长之中。
研发OES终点对策的常规方法是收集在预终点和过终点条件两者期间的大量等离子体发射光谱(发射强度与波长)。利用多种方法能够确定终点波长候选区。通过统计方法诸如因素分析或主要组分分析就能够选择出终点检测的光谱区(参见Angell等人的美国专利5,658,423)。确定终点候选的另一种对策是通过在预终点(主蚀刻)和过终点(过蚀刻)光谱之间构成差值图(difference plot)。一旦选择出了候选区,就对候选区进行可能化学物质的指定(即,来自于游离气态前体的反应物质或蚀刻产品)。这种指定在确定对策的成功方面并不是关键的,而是有助于理解和优化波长选择处理。可以利用许多参考文献,包括Zaidel等人的光谱线表(Tables of Spectral Lines)和Pearse等人的分子谱识别(The Identification of Molecular Spectra),结合工艺化学的知识,来对候选线指定出可能的物质。对于六氟化硫(SF6)等离子体中的硅蚀刻处理的可能终点候选的一个例子是在687nm和703nm处的氟(F)线以及在440nm处的氟化硅(SiF)发射谱带。一旦确定了这些区域,利用相同的OES对策,就能够处理后续部分。
虽然这些OES方法很好地实现了单一步骤处理或有限分离蚀刻步骤的处理(诸如初始蚀刻、随后主蚀刻),但难于将OES应用于快速且周期性的等离子体扰动的等离子体处理。在Laermer等人的美国专利5,501,893、Okudaira等人的美国专利4,985,114和Kawasaki等人的美国专利4,795,529中公开了这种时分复用(TDM)处理的例子。Laermer等人公开了一种利用一系列交替的蚀刻和淀积步骤来将高深宽比结构蚀刻到硅Si中的TDM处理。
图2(a)到2(d)是一种用于深度硅蚀刻的TDM处理的图示例子。典型地在一个由高密度等离子体源、典型的感应耦合等离子体(ICP)与射频(RF)偏置的衬底电极组合构成的反应室中进行TDM Si蚀刻处理。在对于Si的TDM蚀刻处理中,所采用的最常用的处理气体是六氟化硫(SF6)和八氟环丁烷(C4F8)。典型地将SF6作为蚀刻气体,将C4F8作为淀积气体。在蚀刻步骤期间,SF6易于自发并各向同性蚀刻Si(图2(a)和2(b));在淀积步骤中,C4F8促进保护聚合物淀积在蚀刻出的结构的侧壁以及底部(图2(c))。TDM Si蚀刻处理周期性地在蚀刻和淀积步骤之间交替,由此就能够在掩蔽的Si衬底上限定出高深宽比结构。基于出现在蚀刻步骤中的对Si衬底的高能和直接离子轰击,就会去除来自于先前的淀积步骤而在蚀刻出的结构的底部上覆盖的聚合物膜,从而暴露出进一步蚀刻的Si表面(图2(d))。因为蚀刻出的结构的侧壁上的聚合物膜不会受到直接离子轰击、不会横向蚀刻,因此它就会保留。利用TDM Si蚀刻方法,就会在高蚀刻速度之下在Si衬底上限定出高深宽比特征。图2(e)示出了利用TDM处理蚀刻出的硅结构的剖面图的扫描电子显微镜(SEM)图像。
如图3所示,由于在淀积和蚀刻步骤中使用不同的等离子体条件(例如,处理气体类型、压力、RF功率等),在TDM Si蚀刻处理中蚀刻300和淀积305步骤的等离子体发射光谱就会显著不同。如图4中所示,将常规OES方法应用于TDM硅蚀刻处理就会导致周期性的终点轨迹400,而不能用于检测终点。对于TDM硅蚀刻,希望蚀刻终点信息主要包含于处理的蚀刻阶段之中。
Becker等人的美国专利6,200,822展示了一种从TDM Si蚀刻处理的等离子体发射之中提取终点信息的方法。通过利用外部提供的触发器(典型的从一个处理步骤到下一个处理步骤的转变),Becker等人验证了仅仅在蚀刻步骤期间的等离子体中的至少一种物质(对于Si蚀刻、典型为F或SiF)的发射强度。通过利用外部触发,结合延迟功能和取样与保持(峰值保持)电路,就能够把在后续蚀刻步骤中观测到的发射强度聚到一起,以获得实际上非周期性的发射信号。在随后的淀积步骤期间,以最终获知的数值来保持蚀刻步骤中物质的发射强度的数值。按照这种方式,将周期性的发射信号转换为类似于可以用来处理终点确定的阶梯函数的曲线。这种方法的限制在于,在蚀刻步骤期间,需要外部提供的触发器,在触发和获得发射数据之间还需要用户输入延迟。
为了努力增加OES方法的灵敏性,Jerde等人的美国专利4,491,499公开了测量窄带的发射光谱并同时同步测量以该窄带为中心的较宽本底带的强度。按照这种方式,就能够从终点信号中减去背景信号,从而产生窄带信号的更加精确的值。
因此,需要一种用于TDM等离子体处理的终点对策,这种对策不需要外部触发器和触发之后的用户输入延迟,以便使等离子体发射数据的收集与处理步骤同步。
现有技术中根本没有提供本发明伴随着的优点。
因此,本发明的目的在于提供一种改进,其克服了现有技术装置中的缺点并且对半导体处理领域的发展作出了巨大贡献。
本发明的另一个目的在于提供一种用于在衬底中蚀刻特征的方法,该方法包括步骤:在等离子体室之中对衬底进行交替处理;监测等离子体发射强度的变化;采用包络跟随器算法从所述等离子体发射强度中提取幅度信息;以及在根据所述监测步骤的一定时间中断所述交替处理。
本发明的另一个目的在于提供一种在时分复用处理期间确立终点的方法,该方法包括步骤:对衬底进行时分复用处理;监测从时分复用处理中产生的信号的属性;采用包络跟随器处理从所述时分复用处理中产生的周期性信号的属性;以及在根据所述处理步骤的一定时间中断所述时分复用处理。
本发明的再一个目的在于提供一种在时分复用处理期间确立终点的方法,该方法包括步骤:在蚀刻步骤中通过与反应蚀刻气体接触来蚀刻衬底表面,以从衬底表面去除材料并提供暴露的表面;在钝化步骤中钝化衬底表面,由钝化层覆盖在先前的蚀刻步骤中暴露的表面,由此形成临时性蚀刻停止层;交替重复蚀刻步骤和钝化步骤;通过采用包络跟随器算法,分析等离子体发射的至少一个波长区域的强度;以及在根据所述分析步骤的一定时间中断时分复用处理。
本发明的另一个目的在于提供一种在时分复用处理期间确立终点的方法,该方法包括步骤:对衬底进行时分复用处理;监测从时分复用处理中产生的信号的属性;采用峰值保持和衰减算法处理从所述时分复用处理中产生的周期性信号的属性;以及在根据所述处理步骤的一定时间中断时分复用处理。
前面已经简述了本发明的一些相关的目的。这些目的应当理解为仅仅是说明本发明的某些更加重要的特征和应用。通过以不同方式应用公开的本发明或在本公开的范围之内进行修改就能够获得许多其它有益的效果。因此,除了由权利要求限定的本发明的范围之外,通过参照发明内容和结合附图的优选实施例的详细说明可以获得其它目的和本发明的进一步理解。
发明内容
为了总结本发明起见,本发明包括一种用于在交替周期性蚀刻处理或时分复用处理期间确立终点的方法和设备。注意,所述处理的等离子体发射强度可以是周期性的。
本发明的特征在于提供一种在衬底中蚀刻特征的方法。要蚀刻的衬底可以包括硅或III族元素和/或V族元素,诸如砷化镓。该方法包括以下步骤。将衬底放置在等离子体室中并进行交替处理。交替处理可以包括只有蚀刻步骤、只有淀积步骤、至少一个蚀刻步骤和至少一个淀积步骤、或多个蚀刻步骤和多个淀积步骤。此外,在交替周期性处理中,至少一个处理参数可以随时间改变。采用公知的光发射光谱测定技术来监测等离子体发射强度中的变化。监测可以是对等离子体发射强度的多个区域。可以采用统计方法,诸如因素分析,或通过脱机分析,选择等离子体发射强度的多个区域。可以利用光谱求差来确定脱机分析。此外,可以对等离子体发射强度的多个区域进行本底校正。可以对等离子体发射强度的多个区域进行数学运算。采用包络跟随器算法,从等离子体发射强度的复杂波形中提取幅度信息。包络跟随器算法可以采用多种峰值检测算法,并且可以按照循环方式依次复位。而且,复位可以基于比所关注的最低频率的半周期长的时钟周期。当在根据监测步骤的一定时间到达终点时中断交替处理。
本发明的另一个特征在于提供一种在时分复用处理期间确立终点的方法,该方法包括以下步骤。在一个真空室之中对衬底进行时分复用处理。采用公知的光发射光谱技术,监测通过时分复用处理产生的周期性信号的属性,诸如发射强度或等离子体阻抗。可以对等离子体发射强度的多个区域进行监测。采用统计方法,诸如因素分析,或通过脱机分析,选择等离子体发射强度的多个区域。可以通过利用光谱求差来确定脱机分析。此外,可以对等离子体发射强度的多个区域进行本底校正。可以对等离子体发射强度的多个区域进行数学运算。采用包络跟随器算法,处理通过时分复用处理产生的周期性信号的属性。包络跟随器算法可以采用多个峰值检测算法,可以按照循环方式依次复位,并且可以进行并行处理。而且,复位可以基于时分复用处理的至少一半处理周期的时钟周期。此外,可以对提取的幅度检测信号进行进一步处理,包括采用无限脉冲响应滤波器或有限脉冲响应滤波器进行滤波的数字信号处理。当在根据处理步骤的一定时间到达终点时中断时分复用处理。
本发明的再一个特征在于提供一种在时分复用处理期间确立终点的方法,该方法包括以下步骤。在真空室之中对衬底进行时分复用处理。在蚀刻步骤中,通过与反应性蚀刻气体接触来各向异性蚀刻衬底表面,以从衬底表面去除材料并提供暴露的表面。然后,在钝化步骤期间钝化衬底表面,从而由钝化层覆盖在先前的蚀刻步骤中暴露出的表面,由此形成临时性蚀刻停止层。蚀刻步骤和钝化步骤交替重复该时分复用处理的长度。采用公知的光发射光谱技术监测等离子体发射的至少一个波长区域的强度,并通过利用包络跟随器算法进行分析。当在根据分析步骤的一定时间到达终点时中断时分复用处理。
本发明的另一个特征在于提供一种在时分复用处理期间确立终点的方法,该方法包括以下步骤。在真空室之中对衬底进行时分复用处理。采用公知的光发射光谱技术,监测通过时分复用处理产生的周期性信号的属性,诸如发射强度或等离子体阻抗。可以对等离子体发射强度的多个区域进行监测。采用统计方法,诸如因素分析,或通过脱机分析,选择等离子体发射强度的多个区域。可以通过利用光谱求差来确定脱机分析。此外,可以对等离子体发射强度的多个区域进行本底校正。可以对等离子体发射强度的多个区域进行数学运算。采用峰值保持和衰减算法,处理通过时分复用处理产生的周期性信号的属性。峰值保持和衰减算法可以采用线性衰减算法或非线性衰减算法。此外,可以对提取的幅度检测信号进行进一步处理,包括采用无限脉冲响应滤波器或有限脉冲响应滤波器进行滤波的数字信号处理。当在根据处理步骤的一定时间到达终点时中断时分复用处理。
前面叙述已经非常宽泛地说明了本发明的更加显著和重要的特征,以便更好地理解以下的本发明的详细说明,从而更加全面地了解对现有技术的贡献。此后将说明本发明的附加特征,其构成了本发明的权利要求的主题。本领域普通技术人员应当清楚,公开的概念和具体实施例可以容易地用作为了实施本发明的相同目的而进行修改或设计其它结构的基础。本领域普通技术人员还应当清楚,这种等同不会脱离所附权利要求书中所提出的本发明的精神和范围。
附图说明
图1是典型的光发射光谱检测配置的示意图;
图2是一种用于深度硅蚀刻的TDM处理的图示例子;
图3是深度硅蚀刻处理的淀积和蚀刻等离子体发射光谱的强度相对于波长的图;
图4是典型深度硅蚀刻处理的重点在440nm峰值范围的发射光谱的等离子体发射强度相对于时间的图;
图5是用于TDM处理的改进OES技术的框图;
图6是深度硅蚀刻处理的等离子体发射强度相对于波长的图;其重点在清除硅之前和已经清除了硅之后来自于蚀刻B步骤的发射光谱;
图7是深度硅蚀刻处理以确定终点候选的差值(蚀刻后-蚀刻前)等离子体发射强度相对于波长的图;
图8是深度硅蚀刻处理的蚀刻部分的440nm区域范围的等离子体发射强度相对于波长的图;
图9是深度硅蚀刻处理的关注信号(440nm)和本底(445nm)的等离子体发射强度相对于时间的图;
图10是深度硅蚀刻处理的关注信号(440nm)和本底(445nm)的等离子体发射强度相对于时间的图,并示出了440nm信号与445nm本底之比;
图11是在蚀刻期间从440nm信号与445nm本底之比中所获得的已校正的等离子体发射强度相对于时间的图;
图12是包络跟随器TDM终点算法的流程图;
图13是已校正的等离子体发射强度相对于时间的图,其在已经应用了有限响应滤波之后采用图11的数据用于深度硅蚀刻处理;
图14是已校正的等离子体发射强度相对于时间的图,其采用包络跟随器算法以及峰值保持和复位应用于图13的滤波输入数据,;
图15是已校正的等离子体发射强度相对于时间的图,其采用包络跟随器算法以及多峰值保持和复位应用于图13的滤波输出数据;
图16是已校正的等离子体发射强度相对于时间的图,其采用包络跟随器算法以确定顺序峰值保持电路的最大值;
图17是已校正的等离子体发射强度相对于时间的图,其采用本发明的包络跟随器应用于TDM蚀刻处理;
图18是已校正的等离子体发射强度相对于时间的图,其采用在应用FIR滤波之前和之后的包络跟随信号;
图19是已校正的等离子体发射强度相对于时间的图,其示出了初始已校正输入数据以及已滤波包络跟随器终点轨迹;
图20是用于峰值保持和衰减TDM终点算法的流程图;
图21是已校正的等离子体发射强度相对于时间的图,其示出了将线性和非线性衰减函数应用于相同输入数据的例子;
图22是已校正的等离子体发射强度相对于时间的图,其示出了峰值保持以及线性衰减的例子;
图23是已校正的等离子体发射强度相对于时间的图,其示出了峰值保持以及线性衰减应用于滤波输入数据;
图24是已校正的等离子体发射强度相对于时间的图,其示出了具有在应用FIR滤波器之前和之后的衰减信号的峰值保持;
图25是已校正的等离子体发射强度相对于时间的图,其示出了初始已校正的发射输入数据以及已滤波的峰值保持衰减终点轨迹。
在所有的几个附图之中,相同的参考符号表示相同的部件。
具体实施方式
我们公开了一种不用同步触发器而通过分析等离子体发射的至少一个波长区域的强度以时分复用(TDM)处理方式来检测不同材料之间的转变的方法。
由于TDM处理的周期性和重复性特性,通过设计,处理就具有与它相关的多个特性频率。作为一个例子,考虑由依次重复多次的五秒蚀刻步骤和五秒淀积步骤组成的两步骤TDM硅蚀刻处理(参见下面的表1)。由总循环时间(10秒)确定的一个特征频率是0.1Hz。
表1:
处理参数 | 测量单位 | 淀积 | 蚀刻 |
SF<sub>6</sub>流量 | sccm | 0.5 | 100 |
C<sub>4</sub>F<sub>8</sub>流量 | sccm | 70 | 0.5 |
Ar流量 | sccm | 40 | 40 |
压力 | mTorr | 22 | 23 |
RF偏置功率 | W | 1 | 12 |
ICP功率 | W | 1000 | 1000 |
步骤的时间 | 秒 | 5 | 5 |
注意,淀积和蚀刻步骤在化学上不同,RF偏置功率和压力产生显著不同的发射光谱。
图5的方框图示出了对于TDM处理的改进OES技术的总体图。TDM处理的构成是本领域中众所周知的。对于处理终点检测,确认TDM处理的等离子体发射光谱(对于等离子体发射,典型在200-1100nm之间)的至少一个区域。在TDM蚀刻处理期间全程监测光谱区域。来自TDM处理的原始发射信号实际是周期性的。
具有多种方法来检测TDM处理中的材料转变点,而不用使终点检测算法与TDM处理同步。这些方法包括包络跟随器算法、峰值保持和衰减算法以及应用信号处理滤波器。
包络跟随器技术可以用于从复杂波形中提取幅度信息。包络跟随器算法由依次按照循环(round-robin)方式复位的并行操作的两个或多个峰值保持例程组成。
第二种技术由峰值保持算法与衰减算法组合构成。峰值保持算法被应用于输入数据。将输入数据值与峰值保持值进行比较。如果输入值小于保持的峰值,则允许峰值按照用户定义的函数随时间减小。衰减函数可以是线性的或非线性的任何一种。一旦输入值大于衰减的保持值,峰值就会被更新为输入值,并且重启衰减算法。结果,每当输入的数据值超过保持值时,该算法自身就会复位,由此,就不需要使该算法与TDM处理同步。
本发明的替换实施例对在应用终点检测算法之前的原始数据进行了滤波。进行滤波的例子包括(但不限于)有限脉冲响应(FIR)和无限脉冲响应(IIR)滤波。
类似地,一旦已经通过终点检测算法对信号进行了处理,就能够滤除掉得到的终点轨迹,从而改善最终信号的信噪比特性。此外,可以应用FIR、IIR和其它滤波器。
注意,该方法不限于两步骤循环处理。实际上,通常可以将处理的蚀刻部分进一步划分为多个子步骤。
重要的是还应当注意,每个重复循环之中的处理参数不需要逐个循环保持恒定。例如,在硅的TDM蚀刻期间,通常在整个处理期间会逐渐降低淀积步骤的效率以便维持轮廓控制(本领域中公知的处理形貌)。在形貌处理中,在一定数量的蚀刻步骤或淀积步骤之间进行微小的参数改变,包括(但不限于)RF偏置功率、处理压力、ICP功率等。这些改变还包括改变TDM循环内处理步骤的持续时间。
确定在TDM处理中材料转变点的第三种方法是采用FIR、IIR或类似的滤波器而不用峰值检测算法来滤除数据。与Litvak等人在WO91/18283中的教导不同,为了有效,在整数的等离子体修正循环中不必应用滤波器。
虽然采用基于SF6/C4F8的处理对于深度硅蚀刻示范说明这些方法,但这些方法是与化学无关的,在利用TDM处理的情况下是有效的。这些方法还用于检测采用重复TDM处理下的其它材料(诸如介质材料和金属)的材料转变点。
蚀刻硅的例子
采用TDM方法来蚀刻绝缘体上硅(SOI)晶片。以下的表2列举了此方法。以下的例子将本发明应用于3步骤TDM Si蚀刻处理。
表2:
处理参数 | 测量单位 | 淀积 | 蚀刻A | 蚀刻B |
SF<sub>6</sub>流量 | sccm | 1 | 50 | 100 |
C<sub>4</sub>F<sub>8</sub>流量 | sccm | 70 | 1 | 1 |
Ar流量 | sccm | 40 | 40 | 40 |
压力 | mTorr | 22 | 23 | 23 |
RF偏置功率 | W | 1 | 12 | 12 |
ICP功率 | W | 1500 | 1500 | 1500 |
步骤的时间 | 秒 | 6 | 3 | 7 |
在市场上可得到的Unaxis Shuttlelock系列深度硅蚀刻(DSE)设备上进行实验。采用市场上可得到的Unaxis Spectraworks发射光谱仪在1Hz频率下收集发射光谱。
为了确定所关注的光谱区,蚀刻测试晶片,并且在清除硅层之前和在已经清除硅层之后(处理终点)分析淀积和蚀刻B的等离子体发射光谱。由于在处理的淀积相期间,希望几乎没有蚀刻,图6重点关注了在清除了硅之前600和清除了硅之后605来自于蚀刻B步骤的发射光谱。注意,在接近450nm的蚀刻光谱中有轻微不同。为了确定终点候选,逐点构成差值光谱。图7示出了所得到的光谱。终点检测的候选出现在440nm(700)和686nm(705)处。440nm峰值可指定给SiF发射(蚀刻产品:随着硅清除而降低),而686nm峰值可指定给F发射(反应物:随着硅清除而增加)。如前面的图4中所示,440nm区域之中的值对时间的图显示出,随着蚀刻进行,振荡信号的峰到峰数值仅轻微减少,并且难于确定处理终点。
根据440nm发射峰值构建改进的终点对策。图8示出终点前800和终点后805蚀刻B发射光谱的放大图,以便更加精确地检验440nm峰值。为了降低相关噪声,监测两个光谱区域,即窄440nm峰值810(SiF发射)和用于本底校正的以445nm为中心的较宽光谱区815。
图9示出了在整个蚀刻时间的300到400秒范围之内的440nm和445nm下的发射强度的放大图。注意,在较高强度淀积步骤期间,信号900(440nm)和本底905(445nm)区域彼此很好地跟随(相等或平行),但是接近蚀刻B步骤结束910处则偏离。构成440nm信号(标记R1)与445nm本底(标记R3)之比就产生了图10中所示的数据。注意,比率信号1000是周期性的和重复性的。
图11示出了在整个蚀刻过程期间的本底校正信号(440nm SiF/445nm本底之比)。注意,在接近600秒处,标记出了连续的峰值高度的下降1100。
图12示出了包络跟随器TDM终点算法的流程图。一旦获得了数据,就在应用包络跟随器之前对它进行滤波。图13示出了图11的数据1300在应用了有限响应滤波(5点移动平均)之后的情况1305。
图14和15示出了本发明的包络跟随器算法的第一步骤。图14是峰值保持算法1400及复位1410应用于图13的滤波输入数据1405的图。而图15是采用多峰值保持(1500和1505)及顺序复位的包络跟随器算法应用于图13的滤波输入数据1510的图。对于图14和15,以1Hz获取数据。
包络跟随器算法的下一步骤确定顺序峰值保持电路1601的最大值(参见图16)。图17示出了该处理的最终包络跟随器1700。注意,接近550秒时幅值下降1705。
一旦已经计算了包络跟随器,就可以应用附加的滤波以便进一步增加信号噪声比。图18示出了在应用FIR滤波(45秒移动平均)之前1800和之后1805的包络跟随信号。
总之,图19示出了初始已校正发射输入数据以及已滤波包络跟随器终点轨迹1905。
随后可以采用众所周知的技术(诸如阈值交叉检测或导数处理)对已滤波包络跟随器轨迹进行进一步处理,以便确定“终点”产生的时间。
图20示出了峰值保持和衰减TDM终点算法的流程图。一旦获得数据并滤波(再次参见图13,以1Hz获得,和用5点移动平均滤波),就应用峰值保持和衰减算法。
图21示出了线性2100和非线性2105衰减函数应用于相同的输入数据2110的例子。
图22示出了具有55秒的线性衰减的峰值保持2200的例子(例如,在55取样间隔下当前峰值数值就会衰减至0数值)。以1Hz获得数据。
图23示出了应用于滤波输入数据2305的峰值保持以及线性衰减2300。为了进一步改进终点轨迹的信号噪声比特性,在峰值保持衰减算法之后应用FIR滤波。
图24示出了在应用FIR滤波(30秒去除平均)之前2400和之后2405的峰值保持及衰减信号。
总之,图25示出了初始已校正的发射输入数据2500以及已滤波的峰值保持衰减终点轨迹2505。
随后可以采用众所周知的技术(诸如阈值交叉检测或导数处理)对已滤波的峰值保持衰减轨迹进行进一步处理,以便确定“终点”产生的时间。
本发明公开包括在所附权利要求书中包含的内容以及前面说明书的内容。虽然按照优选方式在某些具体方面描述了本发明,但应当理解,仅仅通过利用实例来进行优选方式的本公开,并且在不脱离本发明的精神和范围的情况下可以在结构细节上及部件的组合和布置上进行多种变化。
现在,就已经描述了本发明。
Claims (47)
1.一种在衬底中蚀刻特征的方法,包括以下步骤:
在等离子体室中对衬底进行交替周期性处理;
监测等离子体发射强度中的变化;
采用包络随从算法,从所述等离子体发射强度中提取幅度信息;以及
在根据所述监测步骤的一定时间中断所述交替周期性处理。
2.根据权利要求1的方法,其中要蚀刻的衬底包括硅。
3.根据权利要求1的方法,其中要蚀刻的衬底包括III族元素。
4.根据权利要求1的方法,其中要蚀刻的衬底包括V族元素。
5.根据权利要求1的方法,其中所述交替周期性处理进一步包括至少一个蚀刻步骤和至少一个淀积步骤。
6.根据权利要求1的方法,其中所述交替周期性处理进一步包括多个蚀刻步骤和多个淀积步骤。
7.根据权利要求1的方法,其中,在所述交替周期性处理期间,至少一个处理参数随时间改变。
8.根据权利要求1的方法,其中所述等离子体发射强度是周期性的。
9.根据权利要求1的方法,其中所述监测步骤进一步包括监测等离子体发射强度的多个区域。
10.根据权利要求9的方法,其中采用统计方法来选择出所述等离子体发射强度的多个区域。
11.根据权利要求10的方法,其中所述统计方法进一步包括因素分析。
12.根据权利要求9的方法,其中采用脱机分析来选择出所述等离子体发射强度的多个区域。
13.根据权利要求12的方法,其中所述脱机分析进一步包括光谱求差。
14.根据权利要求9的方法,其中对所述等离子体发射强度的多个区域进行本底校正。
15.根据权利要求9的方法,其中所述监测步骤还包括对所述等离子体发射强度的多个区域进行数学运算。
16.根据权利要求1的方法,其中所述提取步骤进一步包括采用多个峰值检测算法。
17.根据权利要求16的方法,其中按照循环方式顺序复位所述多个峰值检测算法。
18.根据权利要求17的方法,其中所述复位还包括大于最低所关注频率的半周期的时钟周期。
19.一种在时分复用处理期间确立终点的方法,包括以下步骤:
对衬底进行时分复用处理;
监测从时分复用处理中产生的信号的属性;
采用包络随从,处理从时分复用处理中产生的周期性信号的所述属性;以及
在根据所述处理步骤的一定时间中断时分复用处理。
20.根据权利要求19的方法,其中所述属性是等离子体发射强度。
21.根据权利要求20的方法,其中所述监测步骤进一步包括:监测等离子体发射强度的多个区域。
22.根据权利要求21的方法,其中采用统计方法来选择所述等离子体发射强度的多个区域。
23.根据权利要求22的方法,其中所述采用统计方法进一步包括因素分析。
24.根据权利要求21的方法,其中采用脱机分析来选择所述等离子体发射强度的多个区域。
25.根据权利要求24的方法,其中所述采用脱机分析进一步包括光谱求差。
26.根据权利要求21的方法,其中对所述等离子体发射强度的多个区域进行本底校正。
27.根据权利要求21的方法,其中所述监测步骤进一步包括对所述等离子体发射强度的多个区域进行数学运算。
28.根据权利要求19的方法,其中所述属性是等离子体阻抗。
29.根据权利要求19的方法,其中所述处理步骤进一步包括采用多个峰值检测算法。
30.根据权利要求29的方法,其中并行处理所述多个峰值检测算法。
31.根据权利要求29的方法,其中按照循环方式顺序复位所述多个峰值检测算法。
32.根据权利要求31的方法,其中所述复位还包括时分复用处理的至少一半处理周期的时钟周期。
33.根据权利要求19的方法,其中所述处理步骤进一步包括对提取的幅度检测信号的后处理。
34.根据权利要求33的方法,其中所述后处理是数字信号处理。
35.根据权利要求34的方法,其中所述数字信号处理包括滤波。
36.根据权利要求35的方法,其中所述滤波是无限脉冲响应滤波。
37.根据权利要求35的方法,其中所述滤波是有限脉冲响应滤波。
38.一种在时分复用处理期间确立终点的方法,该方法包括以下步骤:
a.在蚀刻步骤中,通过与反应性蚀刻气体接触来蚀刻衬底表面,以从所述衬底表面去除材料并提供暴露的表面;
b.在钝化步骤中钝化所述衬底表面,由钝化层覆盖在先前的蚀刻步骤中暴露出的表面,由此形成临时性蚀刻停止层;
c.交替重复所述蚀刻步骤和钝化步骤;
d.通过利用包络随从算法分析等离子体发射的至少一个波长区域的强度;以及
e.在根据所述分析步骤的一定时间中断时分复用处理。
39.一种在时分复用处理期间确立终点的方法,包括以下步骤:
对衬底进行时分复用处理;
监测从时分复用处理中产生的信号的属性;
采用峰值保持和衰减算法,处理从时分复用处理中产生的周期性信号的所述属性;
在根据所述处理步骤的一定时间中断时分复用处理。
40.根据权利要求39的方法,其中所述属性是等离子体发射强度。
41.根据权利要求39的方法,其中所述处理步骤进一步包括采用线性衰减算法。
42.根据权利要求39的方法,其中所述处理步骤进一步包括采用非线性衰减算法。
43.根据权利要求39的方法,其中所述处理步骤进一步包括对提取的幅度检测信号的后处理。
44.根据权利要求43的方法,其中所述后处理是数字信号处理。
45.根据权利要求44的方法,其中所述数字信号处理包括滤波。
46.根据权利要求45的方法,其中所述滤波是无限脉冲响应滤波。
47.根据权利要求45的方法,其中所述滤波是有限脉冲响应滤波。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US46933303P | 2003-05-09 | 2003-05-09 | |
US60/469,333 | 2003-05-09 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1784778A CN1784778A (zh) | 2006-06-07 |
CN100401491C true CN100401491C (zh) | 2008-07-09 |
Family
ID=33452276
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2004800125707A Expired - Lifetime CN100401491C (zh) | 2003-05-09 | 2004-05-06 | 时分复用处理中的包络跟随器终点检测 |
Country Status (8)
Country | Link |
---|---|
US (1) | US7101805B2 (zh) |
EP (1) | EP1623457B1 (zh) |
JP (2) | JP2007501532A (zh) |
CN (1) | CN100401491C (zh) |
AT (1) | ATE415702T1 (zh) |
DE (1) | DE602004017983D1 (zh) |
TW (1) | TWI319207B (zh) |
WO (1) | WO2004102642A2 (zh) |
Families Citing this family (40)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060006139A1 (en) * | 2003-05-09 | 2006-01-12 | David Johnson | Selection of wavelengths for end point in a time division multiplexed process |
WO2006054871A1 (en) * | 2004-11-18 | 2006-05-26 | Semisysco Co., Ltd. | Dry etching apparatuses and methods of forming an electric device using the same |
FR2880470B1 (fr) * | 2004-12-31 | 2007-04-20 | Cit Alcatel | Dispositif et procede pour le controle de la profondeur de gravure lors de la gravure alternee par plasma de substrats semi-conducteurs |
US7625824B2 (en) * | 2005-06-16 | 2009-12-01 | Oerlikon Usa, Inc. | Process change detection through the use of evolutionary algorithms |
US7728253B2 (en) * | 2005-06-29 | 2010-06-01 | Northeastern University | Nano-particle trap using a microplasma |
JP4512533B2 (ja) * | 2005-07-27 | 2010-07-28 | 住友精密工業株式会社 | エッチング方法及びエッチング装置 |
WO2007031778A1 (en) * | 2005-09-16 | 2007-03-22 | Aviza Technology Limited | A method of etching a feature in a silicone substrate |
JP2008010818A (ja) * | 2006-06-01 | 2008-01-17 | Sumitomo Electric Ind Ltd | 基板、基板検査方法、素子および基板の製造方法 |
JP5234591B2 (ja) * | 2006-07-28 | 2013-07-10 | Sppテクノロジーズ株式会社 | 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置 |
JP4101280B2 (ja) * | 2006-07-28 | 2008-06-18 | 住友精密工業株式会社 | 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置 |
JP5026326B2 (ja) * | 2008-04-04 | 2012-09-12 | 株式会社日立ハイテクノロジーズ | エッチング処理状態の判定方法、システム |
US9997325B2 (en) | 2008-07-17 | 2018-06-12 | Verity Instruments, Inc. | Electron beam exciter for use in chemical analysis in processing systems |
US8538572B2 (en) | 2009-06-30 | 2013-09-17 | Lam Research Corporation | Methods for constructing an optimal endpoint algorithm |
US8983631B2 (en) | 2009-06-30 | 2015-03-17 | Lam Research Corporation | Arrangement for identifying uncontrolled events at the process module level and methods thereof |
US8473089B2 (en) | 2009-06-30 | 2013-06-25 | Lam Research Corporation | Methods and apparatus for predictive preventive maintenance of processing chambers |
WO2011002800A2 (en) * | 2009-06-30 | 2011-01-06 | Lam Research Corporation | Methods and arrangements for in-situ process monitoring and control for plasma processing tools |
US8271121B2 (en) | 2009-06-30 | 2012-09-18 | Lam Research Corporation | Methods and arrangements for in-situ process monitoring and control for plasma processing tools |
US8618807B2 (en) | 2009-06-30 | 2013-12-31 | Lam Research Corporation | Arrangement for identifying uncontrolled events at the process module level and methods thereof |
US8295966B2 (en) | 2009-06-30 | 2012-10-23 | Lam Research Corporation | Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber |
KR101602449B1 (ko) * | 2009-09-14 | 2016-03-15 | 삼성전자주식회사 | 반도체 제조 공정에서의 챔버상태 모니터링 장치 및 그 방법 |
JP5223878B2 (ja) * | 2010-03-30 | 2013-06-26 | 株式会社デンソー | 半導体装置の製造方法 |
US8802545B2 (en) | 2011-03-14 | 2014-08-12 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US8691702B2 (en) | 2011-03-14 | 2014-04-08 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US20130048082A1 (en) * | 2011-08-22 | 2013-02-28 | Mirzafer Abatchev | System, method and apparatus for real time control of rapid alternating processes (rap) |
JP6002487B2 (ja) * | 2012-07-20 | 2016-10-05 | 株式会社日立ハイテクノロジーズ | 分析方法、分析装置、及びエッチング処理システム |
CN103117202B (zh) * | 2013-02-19 | 2015-09-09 | 中微半导体设备(上海)有限公司 | 等离子体处理工艺的终点检测装置及方法 |
CN105190862B (zh) | 2013-03-06 | 2018-09-11 | 等离子瑟姆有限公司 | 用于对半导体晶圆进行等离子切片的方法和设备 |
JP6239294B2 (ja) * | 2013-07-18 | 2017-11-29 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置及びプラズマ処理装置の運転方法 |
US9209178B2 (en) * | 2013-11-25 | 2015-12-08 | International Business Machines Corporation | finFET isolation by selective cyclic etch |
CA3000982A1 (en) * | 2014-10-10 | 2016-04-14 | Orthobond, Inc. | Method for detecting and analzying surface films |
US9640371B2 (en) | 2014-10-20 | 2017-05-02 | Lam Research Corporation | System and method for detecting a process point in multi-mode pulse processes |
EP3038132B1 (en) * | 2014-12-22 | 2020-03-11 | IMEC vzw | Method and apparatus for real-time monitoring of plasma etch uniformity |
CN107430044B (zh) * | 2015-01-30 | 2020-02-14 | 机械解析有限公司 | 用于测试通过气体流动部件的气体泄漏的系统和方法 |
JP6356615B2 (ja) * | 2015-02-06 | 2018-07-11 | 東芝メモリ株式会社 | 半導体製造装置および半導体製造方法 |
JP6549917B2 (ja) * | 2015-06-26 | 2019-07-24 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびそのデータ解析装置 |
US10522429B2 (en) * | 2015-11-30 | 2019-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor device |
JP6479713B2 (ja) * | 2016-07-11 | 2019-03-06 | 株式会社Kokusai Electric | 半導体装置の製造方法、プログラムおよび基板処理装置 |
JP6804694B1 (ja) * | 2019-02-08 | 2020-12-23 | 株式会社日立ハイテク | エッチング処理装置、エッチング処理方法および検出器 |
GB201916079D0 (en) | 2019-11-05 | 2019-12-18 | Spts Technologies Ltd | Apparatus and method |
WO2021130798A1 (ja) | 2019-12-23 | 2021-07-01 | 株式会社日立ハイテク | プラズマ処理方法およびプラズマ処理に用いる波長選択方法 |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4795529A (en) * | 1986-10-17 | 1989-01-03 | Hitachi, Ltd. | Plasma treating method and apparatus therefor |
US4985114A (en) * | 1988-10-14 | 1991-01-15 | Hitachi, Ltd. | Dry etching by alternately etching and depositing |
US5362356A (en) * | 1990-12-20 | 1994-11-08 | Lsi Logic Corporation | Plasma etching process control |
US5501893A (en) * | 1992-12-05 | 1996-03-26 | Robert Bosch Gmbh | Method of anisotropically etching silicon |
US5810963A (en) * | 1995-09-28 | 1998-09-22 | Kabushiki Kaisha Toshiba | Plasma processing apparatus and method |
US6368975B1 (en) * | 1999-07-07 | 2002-04-09 | Applied Materials, Inc. | Method and apparatus for monitoring a process by employing principal component analysis |
US20030043383A1 (en) * | 2001-09-06 | 2003-03-06 | Tatehito Usui | Method and apparatus for determining endpoint of semiconductor element fabricating process and method and apparatus for processing member to be processed |
Family Cites Families (34)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4528438A (en) | 1976-09-16 | 1985-07-09 | Northern Telecom Limited | End point control in plasma etching |
US4491499A (en) | 1984-03-29 | 1985-01-01 | At&T Technologies, Inc. | Optical emission end point detector |
JPS61193505A (ja) * | 1985-02-22 | 1986-08-28 | Keio Giken Kogyo Kk | エンベロ−プ抽出回路 |
JPS635529A (ja) * | 1986-06-25 | 1988-01-11 | Sharp Corp | エツチング終点検出装置 |
US5097430A (en) | 1990-01-16 | 1992-03-17 | Applied Materials, Inc. | Method and apparatus for displaying process end point signal based on emission concentration within a processing chamber |
US5208644A (en) | 1990-05-18 | 1993-05-04 | Xinix, Inc. | Interference removal |
US5160402A (en) | 1990-05-24 | 1992-11-03 | Applied Materials, Inc. | Multi-channel plasma discharge endpoint detection method |
JPH05114586A (ja) * | 1991-10-22 | 1993-05-07 | Nippon Telegr & Teleph Corp <Ntt> | ドライエツチングの終点検出装置 |
US5308414A (en) | 1992-12-23 | 1994-05-03 | International Business Machines Corporation | Method and apparatus for optical emission end point detection in plasma etching processes |
TW280083B (zh) | 1993-03-04 | 1996-07-01 | Tokyo Electron Co Ltd | |
US5980767A (en) | 1994-02-25 | 1999-11-09 | Tokyo Electron Limited | Method and devices for detecting the end point of plasma process |
JPH08232087A (ja) | 1994-12-08 | 1996-09-10 | Sumitomo Metal Ind Ltd | エッチング終点検出方法及びエッチング装置 |
US5658423A (en) | 1995-11-27 | 1997-08-19 | International Business Machines Corporation | Monitoring and controlling plasma processes via optical emission using principal component analysis |
GB9614160D0 (en) * | 1996-07-05 | 1996-09-04 | Gillette Co | Dry shaving apparatus |
US6649075B1 (en) | 1996-07-23 | 2003-11-18 | Applied Materials, Inc. | Method and apparatus for measuring etch uniformity of a semiconductor wafer |
US5928532A (en) | 1996-11-11 | 1999-07-27 | Tokyo Electron Limited | Method of detecting end point of plasma processing and apparatus for the same |
DE19730644C1 (de) * | 1997-07-17 | 1998-11-19 | Bosch Gmbh Robert | Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung |
US5958258A (en) * | 1997-08-04 | 1999-09-28 | Tokyo Electron Yamanashi Limited | Plasma processing method in semiconductor processing system |
US6060328A (en) | 1997-09-05 | 2000-05-09 | Advanced Micro Devices, Inc. | Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process |
US6153115A (en) | 1997-10-23 | 2000-11-28 | Massachusetts Institute Of Technology | Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra |
JPH11176815A (ja) | 1997-12-15 | 1999-07-02 | Ricoh Co Ltd | ドライエッチングの終点判定方法およびドライエッチング装置 |
JP3563949B2 (ja) | 1997-12-19 | 2004-09-08 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US6046796A (en) | 1998-04-22 | 2000-04-04 | Advanced Micro Devices, Inc. | Methodology for improved semiconductor process monitoring using optical emission spectroscopy |
JP3383236B2 (ja) | 1998-12-01 | 2003-03-04 | 株式会社日立製作所 | エッチング終点判定方法及びエッチング終点判定装置 |
GB9827065D0 (en) * | 1998-12-10 | 1999-02-03 | Orbis Technologies Ltd | A plasma etching control device |
JP4051470B2 (ja) * | 1999-05-18 | 2008-02-27 | 東京エレクトロン株式会社 | 終点検出方法 |
JP2001044171A (ja) * | 1999-07-28 | 2001-02-16 | Matsushita Electric Ind Co Ltd | エッチング終点検出方法および装置 |
US6492186B1 (en) | 1999-08-05 | 2002-12-10 | Eaton Corporation | Method for detecting an endpoint for an oxygen free plasma process |
US6582618B1 (en) | 1999-09-08 | 2003-06-24 | Advanced Micro Devices, Inc. | Method of determining etch endpoint using principal components analysis of optical emission spectra |
US6419846B1 (en) | 1999-09-08 | 2002-07-16 | Advanced Micro Devices, Inc. | Determining endpoint in etching processes using principal components analysis of optical emission spectra |
US6451158B1 (en) | 1999-12-21 | 2002-09-17 | Lam Research Corporation | Apparatus for detecting the endpoint of a photoresist stripping process |
JP4007748B2 (ja) * | 2000-05-12 | 2007-11-14 | 東京応化工業株式会社 | プラズマエッチング処理の終点検出方法 |
US6358760B1 (en) | 2000-06-01 | 2002-03-19 | Advanced Micro Devices, Inc. | Method for amorphous silicon local interconnect etch |
KR100426988B1 (ko) | 2001-11-08 | 2004-04-14 | 삼성전자주식회사 | 반도체 제조장비의 식각 종말점 검출장치 및 그에 따른검출방법 |
-
2004
- 2004-05-06 DE DE602004017983T patent/DE602004017983D1/de not_active Expired - Lifetime
- 2004-05-06 US US10/841,818 patent/US7101805B2/en active Active
- 2004-05-06 EP EP04751610A patent/EP1623457B1/en not_active Expired - Lifetime
- 2004-05-06 JP JP2006532855A patent/JP2007501532A/ja active Pending
- 2004-05-06 WO PCT/US2004/014308 patent/WO2004102642A2/en active Application Filing
- 2004-05-06 AT AT04751610T patent/ATE415702T1/de not_active IP Right Cessation
- 2004-05-06 CN CNB2004800125707A patent/CN100401491C/zh not_active Expired - Lifetime
- 2004-05-07 TW TW093112881A patent/TWI319207B/zh active
-
2010
- 2010-08-13 JP JP2010181312A patent/JP2010251813A/ja not_active Abandoned
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4795529A (en) * | 1986-10-17 | 1989-01-03 | Hitachi, Ltd. | Plasma treating method and apparatus therefor |
US4985114A (en) * | 1988-10-14 | 1991-01-15 | Hitachi, Ltd. | Dry etching by alternately etching and depositing |
US5362356A (en) * | 1990-12-20 | 1994-11-08 | Lsi Logic Corporation | Plasma etching process control |
US5501893A (en) * | 1992-12-05 | 1996-03-26 | Robert Bosch Gmbh | Method of anisotropically etching silicon |
US5810963A (en) * | 1995-09-28 | 1998-09-22 | Kabushiki Kaisha Toshiba | Plasma processing apparatus and method |
US6368975B1 (en) * | 1999-07-07 | 2002-04-09 | Applied Materials, Inc. | Method and apparatus for monitoring a process by employing principal component analysis |
US20030043383A1 (en) * | 2001-09-06 | 2003-03-06 | Tatehito Usui | Method and apparatus for determining endpoint of semiconductor element fabricating process and method and apparatus for processing member to be processed |
Also Published As
Publication number | Publication date |
---|---|
WO2004102642A3 (en) | 2005-06-23 |
TW200511429A (en) | 2005-03-16 |
JP2007501532A (ja) | 2007-01-25 |
WO2004102642A2 (en) | 2004-11-25 |
EP1623457B1 (en) | 2008-11-26 |
JP2010251813A (ja) | 2010-11-04 |
CN1784778A (zh) | 2006-06-07 |
EP1623457A2 (en) | 2006-02-08 |
DE602004017983D1 (de) | 2009-01-08 |
US20040238489A1 (en) | 2004-12-02 |
TWI319207B (en) | 2010-01-01 |
US7101805B2 (en) | 2006-09-05 |
ATE415702T1 (de) | 2008-12-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN100401491C (zh) | 时分复用处理中的包络跟随器终点检测 | |
CN101248507B (zh) | 时分多工工艺中的用于终点的波长选择 | |
CN1739185B (zh) | 在时分多重蚀刻工艺中的终点检测 | |
US5739051A (en) | Method and device for detecting the end point of plasma process | |
JP3429137B2 (ja) | トレンチ形成プロセスのリアルタイム現場監視のための方法 | |
KR100780021B1 (ko) | 플라즈마처리방법 및 플라즈마처리장치 | |
KR100454348B1 (ko) | 플라즈마에칭공정의최적종료점의검출을위한개선된방법및장치 | |
TWI556340B (zh) | End point detection device and method for plasma processing process | |
KR102342686B1 (ko) | 플라스마 처리 방법 | |
CN100419969C (zh) | 用于陈化感测等离子体设备的半导体装置的方法和装置 | |
US8014891B2 (en) | Etching amount calculating method, storage medium, and etching amount calculating apparatus | |
CN101663735A (zh) | 使用智能算法的实时腔室监控方法 | |
US7695984B1 (en) | Use of modeled parameters for real-time semiconductor process metrology applied to semiconductor processes | |
WO2010024489A1 (en) | Leakage detecting method of process chamber | |
Westerman et al. | Endpoint detection method for time division multiplex etch processes | |
JP3117355B2 (ja) | プラズマ処理の終点検出方法 | |
KR20140098477A (ko) | 플라즈마 마이크로 아킹 예측 방법 및 그를 이용한 생산 설비의 플라즈마 공정 관리 방법 | |
US20060166423A1 (en) | Removal spacer formation with carbon film |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CX01 | Expiry of patent term |
Granted publication date: 20080709 |
|
CX01 | Expiry of patent term |