KR100780021B1 - 플라즈마처리방법 및 플라즈마처리장치 - Google Patents

플라즈마처리방법 및 플라즈마처리장치 Download PDF

Info

Publication number
KR100780021B1
KR100780021B1 KR1020060075692A KR20060075692A KR100780021B1 KR 100780021 B1 KR100780021 B1 KR 100780021B1 KR 1020060075692 A KR1020060075692 A KR 1020060075692A KR 20060075692 A KR20060075692 A KR 20060075692A KR 100780021 B1 KR100780021 B1 KR 100780021B1
Authority
KR
South Korea
Prior art keywords
plasma
processing
discharge
time
plasma processing
Prior art date
Application number
KR1020060075692A
Other languages
English (en)
Inventor
에이지 이케가미
쇼지 이쿠하라
다케시 시마다
겐이치 구와바라
다카오 아라세
츠요시 마츠모토
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Application granted granted Critical
Publication of KR100780021B1 publication Critical patent/KR100780021B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • H01J2237/1825Evacuating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

본 발명은 습식 세정 후의 길들이기 방전의 종점을 범용성이 있는 방법으로 고정밀도로 판정한다.
안테나 전극(102) 및 처리용기(101) 내에 시료를 탑재하여 유지하는 하부 전극(109)을 구비한 플라즈마처리용기(101)와, 상기 처리용기(101) 내에 처리가스를 공급하는 가스공급장치 및 처리용기 내의 가스를 배기 밸브(115)를 거쳐 배기하는 배기 펌프(116)를 구비하고, 상기 안테나 전극(102)에 정합기(105)를 거쳐 고주파 전력을 공급하고, 자장코일(107)에 의하여 자장을 형성한 상기 처리용기(101) 내에 플라즈마를 생성하여 상기 하부 전극(109) 위에 탑재된 시료(108)에 플라즈마처리를 실시하는 처리를, 복수의 시료에 대하여 차례로 반복하는 플라즈마처리방법에서 플라즈마처리 중에 있어서의 처리용기(101) 내 압력을 검출하여, 검출 압력이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정한다.

Description

플라즈마처리방법 및 플라즈마처리장치{PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS}
도 1은 본 발명을 적용할 수 있는 UHF-ECR을 사용한 플라즈마 에칭장치를 설명하는 도,
도 2는 길들이기 방전의 종료를 판정하기 위한 구성을 설명하는 도,
도 3은 제 1 실시예를 설명하는 도,
도 4는 제 2 실시예를 설명하는 도,
도 5는 제 3 실시예를 설명하는 도,
도 6은 제 4 실시예를 설명하는 도,
도 7은 제 5 실시예를 설명하는 도,
도 8은 제 5 실시예를 설명하는 도,
도 9는 제 6 실시예를 설명하는 도,
도 10은 제 7 실시예를 설명하는 도,
도 11은 레벨 판정법의 원리를 설명하는 도,
도 12는 미분법의 원리를 설명하는 도,
도 13은 종합 판정예 1을 설명하는 도,
도 14는 종합 판정예 2를 설명하는 도,
도 15는 종합 판정예 3을 설명하는 도면이다.
※ 도면의 주요부분에 대한 부호의 설명
101 : 에칭처리용기(플라즈마처리용기)
102 : 안테나 103 : 유전체
104 : 도파관 105 : 정합기
106 : 플라즈마생성용 고주파 전원(UHF 전원)
107 : 자장코일 108 : 웨이퍼(시료)
109 : 하부 전극(시료대) 110 : 고주파 바이어스 정합기
111 : 고주파 바이어스 전원 112 : 정전흡착용 전원
113 : 광검출기 114 : 압력계
115 : 배기밸브 116 : 터보분자 펌프
117 : 데이터 수집부 118 : 연산처리부
119 : 데이터베이스부 120 : 제어부
121 : 광파이버
본 발명은 플라즈마처리기술에 관한 것으로, 특히 길들이기 방전의 종료를 고정밀도로 판정할 수 있는 플라즈마처리기술에 관한 것이다.
플라즈마처리장치는, 반도체기판 등의 시료를 수용하는 처리용기를 가지고 있고, 상기 시료의 플라즈마처리 중에 생긴 불휘발성의 반응 생성물이 상기 처리용기 내벽 등에 퇴적한다. 그후의 처리에서 상기 퇴적물은 처리용기 내벽으로부터 박리하여 이물이 되어 상기 시료 표면으로 낙하하여 부착하는 일이 있다.
부착된 이물은, 시료 표면에 설치한 집적회로의 배선의 단락, 단선 또는 에칭나머지 등을 야기하여 반도체장치 불량의 원인이 된다. 이 때문에 반도체장치제조에서의 수율이 저하한다. 이것을 방지하기 위해서는 처리용기를 대기 개방하여 처리용기 내 부품을 미리 세정된 교환품과 교환하고, 또는 순수 또는 알콜로 닦아냄 등에 의하여 처리용기 내를 세정하는 이른바 습식 세정이 행하여진다.
습식 세정을 행한 직후의 처리용기 내벽의 상태는, 양산 안정시와는 다르다. 이 때문에 습식 세정 직후의 플라즈마 에칭장치에서의 에칭속도, 시료표면 내에서의 에칭속도 분포, 시료 위의 피에칭재와 마스크 또는 밑바탕과의 에칭 선택비(에칭속도의 비), 또는 에칭에 의한 가공형상 등의 처리성능은 양산 안정시와는 다른 것이 된다.
이와 같은 문제의 발생을 방지하기 위해서는 길들이기 방전(seasoning)이라 불리우는 처리를 행하고, 습식 세정에 의하여 변화된 처리용기 내 상태를 양산 안정시에 근접시키는 것이 일반적으로 행하여지고 있다. 또한 이 길들이기 방전은 반도체 기판 처리를 모의하여 행하여지는 경우가 많다. 또 길들이기 방전의 처리시간은 습식 세정의 실시상태에 의하여 1 내지 수 로트(1 로트 : 25매)만큼이 필요하게 되고, 이 값은 크게 불균일해지는 경우가 많다.
이와 같이 길들이기 방전에 요하는 처리시간이 로트단위가 되는 경우, 길들 이기 방전시에 필요 이상의 매수의 시료(더미웨이퍼)를 처리하게 된다. 또 필요 이상의 더미웨이퍼의 처리는, 장치의 비가동 시간을 증가시키게 되어 반도체장치의 제조 비용을 증가시킨다.
이와 같은 문제를 해결하는 기술로서, 특허문헌 1이 알려져 있다. 이 문헌에는 어느 로트의 플라즈마발광 데이터를 주성분 해석하여 작성한 주성분 스코어와, 이전의 로트에서의 주성분 스코어와의 차를 취하여, 그 차의 로트 내의 평균값 및 로트 내의 최대값과 최소값의 차 및 로트 내의 표준 편차를 구하여, 그것들의 값을 미리 설정된 표준 편차와 비교함으로써 처리용기 내의 상태를 판정하는 것이 나타나 있다.
[특허문헌 1]
일본국 특개2004-235349호 공보
그러나 상기 종래기술의 방법에서는 시료의 처리조건마다, 길들이기 방전의 종점판정에 사용하는 데이터의 조건 내기가 필요하게 처리가 곤란해진다. 또 습식 세정의 실시상태 또는 길들이기 방전의 조건에 따라서는 종점 판정이 곤란한 경우가 생긴다.
본 발명은 이들 문제점을 감안하여 이루어진 것으로, 길들이기 방전의 종점을 범용성이 있는 방법으로 고정밀도로 판정할 수 있는 플라즈마처리기술을 제공하는 것이다.
본 발명은 상기 과제를 해결하기 위하여 다음과 같은 수단을 채용하였다.
안테나 전극 및 처리용기 내에 시료를 탑재하여 유지하는 하부 전극을 구비한 플라즈마처리용기와, 상기 처리용기 내에 처리가스를 공급하는 가스공급장치 및 처리용기 내의 가스를 배기밸브를 거쳐 배기하는 배기펌프를 구비하고, 상기 안테나 전극에 정합기를 거쳐 고주파 전력을 공급하고, 자장코일에 의하여 자장을 형성한 상기 처리용기 내에 플라즈마를 생성하여 상기 하부 전극 위에 탑재된 시료에 플라즈마처리를 실시하는 처리를, 복수의 시료에 대하여 차례로 반복하는 플라즈마처리방법에 서 플라즈마처리 중에서의 처리용기 내 압력을 포함하는 파라미터가 플라즈마처리시간의 증가와 함께 안정되어 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정한다.
이하, 최선의 실시형태를 첨부도면을 참조하면서 설명한다. 도 1은 본 발명을 적용할 수 있는 UHF-ECR(Electron Cyclotron Resonance)을 사용한 플라즈마 에칭장치를 나타내는 도면이다. UHF-ECR을 사용한 플라즈마 에칭장치는, UHF 전자파를 안테나(102)로부터 방사하여 자장과의 상호작용에 의하여 플라즈마를 생성하는 UHF-ECR 방식의 플라즈마 에칭장치이다.
이 에칭장치에서의 플라즈마처리용기[이 예의 경우는 에칭처리용기(101)]는 도시 생략한 온도조절수단에 의하여 그 내벽면을 20∼100℃의 온도범위에서 온도조정 가능하게 되어 있다. 에칭처리용기(101)의 상부에는 안테나(102)가 배치되고, 에칭처리용기(101)와 안테나(102)와의 사이에는 UHF 전자파를 투과 가능한 유전체(103)가 설치되어 있다. 안테나(102)에는 도파관(104) 및 정합기(105)를 거쳐 UHF 전자파를 발생하는 고주파 전원(106)이 접속되어 있다.
에칭처리용기(101)의 바깥 둘레부에는 에칭처리용기(101) 내에 자장을 형성하기 위한 자장코일(107)이 배치되어 있다. 에칭처리용기(101) 내의 안테나(102)의 아래쪽에는 웨이퍼(108) 등의 시료를 배치하기 위한 시료대로서의 하부 전극(109)이 설치되어 있다. 하부 전극(109)에는 에칭처리용기(101)의 밖에 있는 고주파 전원(111)이 고주파 바이어스 정합기(110)를 거쳐 접속되어 있다.
이와 같이 구성한 플라즈마 에칭장치에서는 고주파 전원(106)으로부터 출력된 UHF 전자파는 정합기(105), 도파관(104) 및 안테나(102)를 거쳐 에칭처리용기(101)에 공급된다.
한편, 에칭처리용기(101)의 주위에 배치한 자장코일(107)에 의한 자계가 에칭처리용기(101)에 형성되고, 상기 UHF 전자파의 전계와 자장코일의 자계와의 상호작용에 의하여 도시 생략한 가스공급장치를 거쳐 에칭처리용기(101) 내에 도입된 에칭가스는 효율좋게 플라즈마화된다.
도 2는 이와 같은 플라즈마 에칭장치에서 길들이기 방전의 종료를 판정하기 위한 구성을 설명하는 도면이다. 도 2에서 117은 데이터 수집부이고, 플라즈마처리중에 에칭 파라미터를 차례로 모니터링하여 모니터링한 데이터를 수집한다. 또한 수집하는 에칭 파라미터로서는, (1) 플라즈마발광강도(스펙트럼강도), (2) 웨이퍼 바이어스 전압, (3) 배기밸브의 개방도, (4) 에칭처리용기의 진공 배기시간, (5) 정합기를 구성하는 콘덴서의 정합시 용량, (6) 플라즈마 착화시간, (7) 정전흡착전압, (8) 전열 가스 유량 등을 설정한다.
119는 데이터베이스부이고, 길들이기 방전의 종료를 판정하기 위한 판정값 등을 보존한다. 118은 연산처리부이고, 상기 수집부(117)가 수집한 데이터와 상기 데이터베이스부(119)에 보존되어 있는 판정값과 비교 연산한다. 이에 의하여 습식 세정후에 행하는 길들이기 방전의 종료시점을 판정할 수 있다. 120은 제어부이고, 연산처리부(118)의 출력신호를 기초로 에칭장치를 제어한다. 또 상기 수집부가 수집한 데이터를 기초로 에칭장치를 제어한다.
이하에 길들이기 방전의 종료시점을 판정하는 예를 상세하게 설명한다.
[실시예 1]
본 실시예에서는 모니터링하는 파라미터로서 플라즈마발광을 선정한다. 또한 플라즈마발광은 에칭처리용기(101) 내에서 발생한 플라즈마발광을 광파이버(121)를 거쳐 도출하고, 도출한 광을 광검출기(113)에 의하여 모니터한다.
도 3은 제 1 실시예를 설명하는 도면으로, 습식 세정후에 불소(F)를 주체로 한 혼합가스를 사용하여 길들이기 방전(길들이기 방전은, 더미웨이퍼에 대한 플라즈마처리를, 더미웨이퍼를 교환하면서 계속하여 행하여진다. 또 상기 모니터링은, 예를 들면 각 웨이퍼에 대한 플라즈마처리의 종기에 행하여진다)을 행한 경우에서 길들이기 방전의 누적시간에 대한 에칭율의 변화의 추이를 나타낸 예이다.
본 실시예에 사용한 불소(F)를 함유하는 처리가스는 SF6과 CHF3의 혼합가스이고, 유량은 각각 15 mL/min, 112 mL/min 이다. 또 처리압력은 0.4 Pa, 플라즈마생성용 전력은 800 W, 하부 전극(109)에 인가하는 고주파 바이어스는 15 W 이다.
도 3에서 곡선 a는 시료인 웨이퍼(더미웨이퍼)(108) 위에 형성된 폴리실리콘의 에칭율의 추이를 나타낸다. 또 곡선 b는 길들이기 방전시의 탄소(C2) 및 수소(H)의 발광강도비(C2/H)의 추이를 나타낸다.
도 3에 나타내는 바와 같이 길들이기 방전시간이 길어짐에 따라, 폴리실리콘의 에칭율이 안정되는 것을 알 수 있다. 이와 같은 현상은 아래와 같이 추측된다. 즉, 길들이기 방전에 의하여 발생하는 카본계의 반응생성물(디포짓, C, CF. CH 등으로 이루어진다)은 그 일부는 플라즈마처리용기의 내벽에 부착되고, 그외는 배기된다.
길들이기 방전이 불충분한 경우, 즉 습식 세정 직후는, 카본계 디포짓이 처리용기 내벽에 부착될 확률이 높다. 이 때문에 공급된 상기 혼합가스 중의 불소(F)가 처리용기 내벽에 부착되어 있는 카본계 디포짓에 소비된다. 따라서 웨이퍼에 공급되는 불소(F)가 감소하여 폴리실리콘의 에칭율이 저하한다고 생각된다.
한편, 충분한 길들이기 방전을 실시하면, 챔버 내벽에 부착된 카본계 디포짓이 감소하기 때문에, 처리용기 내벽에서 소비되는 불소(F)가 감소하고, 웨이퍼에 공급되는 불소(F)가 증가하여 폴리실리콘의 에치율이 증가하였다고 생각된다.
즉, 도 3의 곡선 b에 나타내는 바와 같이 플라즈마발광의 발광 강도비(C2/H)의 추이를 모니터하여 발광 강도비(C2/H)가 안정값에 도달하였을 때 길들이기 방전의 종료라고 판정할 수 있다.
[실시예 2]
도 4는 제 2 실시예를 설명하는 도면이다. 본 실시예에서는 모니터링하는 파라미터로서 웨이퍼 바이어스전압(Vpp)(피크 투 피크 전압)을 선정한다.
본 실시예에서는 3대의 동일 사양의 에칭장치(장치 1, 장치 2, 장치 3)를 사용하여 각각의 에칭장치에 습식 세정을 실시한 후, 진공배기를 개시한다. 처리용기 내의 압력이 0.0005 Pa 이하에 도달할 때까지 배기를 계속한다. 또 처리용기 내의 아웃가스·레이트가 0.08 Pa·L/sec 이하인 것을 확인한다. 그후 신품의 Si 웨이퍼(더미웨이퍼)를 사용하여 길들이기 방전을 반복하여 실시하면서 고주파 바이어스 전원(111)의 Vpp 전압을 측정하였다. 또한 상기 Vpp는 고주파 바이어스 정합기(110)의 부분에서 검출한다.
처리조건으로서는 예를 들면 플라즈마생성용 전력 600 W이고, 처리가스로서 Cl2 /HBr/O2의 혼합가스를 사용하여 플라즈마를 생성하고, 고주파 바이어스를 50 W 인가한 조건으로 처리를 행하였다.
본 실시예에서는 상기 3대의 에칭장치의 처리압력을, 1.6 Pa, 1.2 Pa 및 0.4 Pa로 설정하여 처리를 행하고, Vpp 변동을 상기 처리압력마다 모니터하였다. 또한 처리용기(101)의 압력은 압력계(114)를 거쳐 모니터할 수 있다.
도 4의 처리압력 : 1.6 Pa의 예에 나타내는 바와 같이, 습식 세정 직후의 Vpp 전압은 3대 모두 다른 값을 나타내나, 길들이기 방전을 계속하여 가면, 어느 일정한 전압에서 안정되어 가는 것을 알 수 있다. 이 현상은 아래와 같이 추측된다. 즉, 길들이기 방전이 불충분한 때에는 처리용기 내벽에 Si와 에칭가스와의 반 응 생성물이 부착된다. 그러나 길들이기 방전이 충분해지면, 상기 처리용기 내벽에 부착된 반응 생성물, 또는 습식 세정시에 처리용기 내에 잔류한 수분 등이 제거되어 장치상태가 안정화된 것에 의한 것이라고 생각된다.
즉, 하부 전극에 공급하는 고주파 바이어스의 피크 투 피크 전압(Vpp)이 길들이기 방전의 처리시간의 증가와 함께 증가하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정할 수 있다.
또한 도 4에 나타내는 처리압력 : 1.2 Pa의 예, 또는 처리압력 : 0.4 Pa의 예에 나타내는 바와 같이 처리압력이 1.6 Pa에 미치지 않는 처리조건의 경우에는, 처리용기 내벽으로부터의 아웃가스 등의 영향을 받기 어렵고, Vpp 변동을 유효하게 검출하는 것은 어렵게 된다.
[실시예 3]
도 5는 제 3 실시예를 설명하는 도면이다. 본 실시예에서는 모니터링하는 파라미터로서 배기 밸브(115)의 개방도(VV 개방도)를 선정한다. 배기밸브 개방도는 처리용기 내 압력이 설정압력이 되도록 터보분자 펌프(116)의 배기상태를 조정하는 배기밸브의 개방도이다.
본 실시예에서는 3대의 동일 사양의 에칭장치(장치 1, 장치 2, 장치 3)의 각각에 습식 세정을 실시한 후, 터보분자 펌프(116)에 의하여 예를 들면 일정 유량으로 진공배기를 개시하고, 처리용기 내 압력이 0.0005 Pa 이하가 될 때까지 진공배기를 계속한다. 이어서 처리조건으로서 예를 들면 처리용기 내 압력을 2.0 Pa로 제어하고, 플라즈마생성용 전력을 600 W로 설정하고, 처리가스로서 Cl2/HBr/O2의 혼합가스를 사용하여 플라즈마를 생성하고, RF 바이어스를 50 W 인가한 조건으로 처리를 행하였다. 시료로서는 Si 웨이퍼(더미웨이퍼)를 사용하고, 길들이기 방전마다 상기 처리용기 압력을 2.0 Pa로 유지하는 데 요하는 배기밸브 개방도를 측정하였다.
도 5의 처리압력 : 2.0 Pa의 예에 나타내는 바와 같이 습식 세정 직후는, 배기밸브 개방도가 큰 것을 알 수 있다.
길들이기 방전이 불충분한 경우에는, 습식 세정에 의하여 처리용기 내에 잔류한 수분 또는 대기 중의 성분과 반응하여 생성된 디포짓으로부터 아웃가스가 생성되고, 생성된 아웃가스가 처리용기 내의 압력을 약간 상승시키기 때문이다. 길들이기 방전이 충분해지면 상기 잔류한 수분 또는 디포짓이 제거되어 생성되는 아웃가스가 감소한다. 이 때문에 처리용기 내의 압력의 상승이 억제됨으로써, 배기밸브 개방도는 작아진다. 또한 도 5의 처리압력 : 5.0 Pa의 예에 나타내는 바와 같이 처리용기압력을 5.0 Pa로 제어하는 경우에서도 처리용기 압력을 2.0 Pa로 제어하는 경우와 동일한 경향이 얻어진다.
즉, 배기밸브 개방도가 길들이기 방전의 처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정할 수 있다.
[실시예 4]
도 6은 제 4 실시예를 설명하는 도면이다. 본 실시예에서는 모니터링하는 파라미터로서, Si 웨이퍼(더미웨이퍼)를 사용한 길들이기 방전후에, 처리용기 내의 잔류 가스를 터보분자 펌프에 의하여 배출할 때, 일정 압력까지 도달하는 데에 요하는 시간을 설정하고, 이 시간의 추이를 측정한다.
이 예에서는 2대의 동일 사양의 에칭장치(장치 1, 장치 2)에 습식 세정를 실시한 후, 진공배기를 개시하고, 처리용기 내의 압력을 0.0005 Pa 이하로 도달시킨다. 이때 처리용기 내의 아웃가스·레이트가 0.08 Pa·L/sec 이하인 것을 확인한 후, 신품의 Si 웨이퍼(더미웨이퍼)를 사용하여 길들이기 방전을 실시하였다.
처리조건으로서는, 예를 들면 처리압력을 0.4 Pa로 제어하고, 플라즈마생성용 전력을 600 W로 설정하고, 처리가스로서 Cl2/HBr/O2의 혼합가스를 사용하여 플라즈마를 생성하고, RF 바이어스를 50 W 인가한 조건으로 처리를 행하였다.
Si 웨이퍼(더미웨이퍼)를 사용한 길들이기 방전을 행한 후에 처리용기 내의 잔류 가스를 터보분자 펌프(116)에 의하여 예를 들면 일정유량으로 배출한다. 이때 일정압력(목표압력)까지 도달하는 데에 요하는 시간을 측정한다.
본 실시예에서는 도달 압력을 0.005 Pa로 설정하고, 이 압력에 도달하기까지의 시간을 측정하였다. 또한 처리용기 내 압력은 압력계(114)에 의하여 모니터할 수있다.
도 6은 상기 목표압력(0.005 Pa)에 도달하기까지의 시간(배기시간)의 추이를 나타낸 도면이다. 도 6에 나타내는 바와 같이 습식 세정 직후는 목표 압력까지의 도달시간이 긴 것을 알 수 있다. 이것은 습식 세정에 의하여 처리용기 내에 잔류 한 수분, 또는 대기 중의 성분과 반응하여 생성된 물질이 플라즈마방전에 의하여 해리되어 플라즈마방전 후의 배기시간에 영향을 주고 있다고 생각된다.
또한 상기 배기시간은 Si 웨이퍼(더미웨이퍼)를 사용한 길들이기 방전을 겹치면 단축되어 가서, 일정한 시간으로 안정되어 가게 된다. 이것은 처리용기 내의 상태가 안정된 것을 나타내고 있다. 즉, 도 6에 나타내는 바와 같이 길들이기 방전후 에서의 배기시간의 추이를 모니터하여 플라즈마방전 종료 후, 처리용기 내 압력이 목표 압력으로 배기될 때까지 요하는 시간이 길들이기 방전시간의 증가와 함께 감소하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정할 수 있다.
[실시예 5]
도 7, 도 8은 제 5 실시예를 설명하는 도면이다. 본 실시예에서는 모니터링하는 파라미터로서 플라즈마생성용 고주파 전원에 접속한 정합기(105)(소스 바이어스 정합기)를 구성하는 콘덴서의 정합시의 정전용량을 설정하고, 상기 용량의 변화의 추이를 측정한다.
도 7은 정합기(105)의 구성을 설명하는 도면이다. 도 7에서 71은 플라즈마생성용 고주파 전원(106)과 도파관(104)을 접속하는 동축 선로이다. 72, 73, 74는 각각 동축 선로(71)에 접속한 분기로이며, 분기로(72)에는 콘덴서(C1), 리액터(L1)로 이루어지는 공진 회로가 삽입되고, 분기로(73)에는 콘덴서(C2), 리액터(L2)로 이루어지는 공진회로가 삽입되고, 분기로(74)에는 콘덴서(C3), 리액터(L3)로 이루어지는 공진회로가 삽입된다.
도 7은 습식 세정후의 길들이기 방전시간에 대한 정합기(105)의 정합시에서 의 용량(정합용량)의 추이를 나타낸 도면이다. 또한 길들이기 방전에 사용한 할로겐을 함유하는 가스는, Cl2/O2/HBr의 혼합가스이고, 유량은 각각 40 mL/min, 5 mL/min, 140 mL/min, 처리압력은 0.4 Pa, 플라즈마생성용 전력은 500 W, 하부 전극에 인가하는 고주파 바이어스는 20 W 이다.
도 8(a)는 정합기(105)를 구성하는 콘덴서(C1)의 정합용량의 추이를 나타내고, 도 8(b)는 콘덴서(C2)의 정합용량의 추이를 나타내고, 도 8(c)는 콘덴서(C3)의 정합용량의 추이를 나타낸다.
길들이기 방전시간이 길어짐에 따라, 도 8(a)에 나타내는 콘덴서(C1) 및 도 8(c)에 나타내는 콘덴서(C3)의 정합용량은 크게 변동하지 않는 것에 대하여, 도 8(b)에 나타내는 콘덴서(C2)의 정합용량은 크게 변동한다. 또 도 6(b)에 나타내는 바와 같이 콘덴서(C2)의 정합용량은 길들이기 방전시간 40분 이상으로 안정된다.
이와 같은 현상은 이하와 같이 추측된다. 길들이기 방전이 불충분한 경우, 즉 습식 세정 직후는, 잔류한 수분이나 대기 중에서 생성된 디포짓이 아웃가스성분이되기 때문에, 처리용기 내 분위기가 불안정해져 콘덴서의 정합용량이 안정되지 않는다고 생각된다. 그러나 길들이기 방전이 충분한 경우는 길들이기 방전에 의해 생성된 디포짓으로 처리용기 내 분위기가 안정됨으로써 콘덴서의 정합용량도 안정된다고 생각된다. 이와 같이 정합기(105)를 구성하는 콘덴서의 정합시의 정전용량(정합용량)을 검출하여, 검출용량이 플라즈마처리시간의 증가와 함께 증가하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정할 수 있다.
[실시예 6]
도 9는 제 6 실시예를 설명하는 도면이다. 본 실시예에서는 모니터링하는 파라미터로서 플라즈마 착화 검출시간(플라즈마생성용 고주파 전력을 인가하고 나서 플라즈마가 생성되기까지의 시간)을 설정한다.
본 실시예에서는 2대의 동일 사양의 에칭장치(장치 1, 장치 2)에 습식 세정을 실시한 후에 진공배기를 개시한다. 처리용기 내의 압력을 0.0005 Pa 이하로 설정하고, 그후 Si 웨이퍼(더미웨이퍼)를 차례로 반입하여 길들이기 방전을 반복한다. 이 때 광검출기(113)에 의하여 상기 플라즈마 착화시간을 측정한다.
길들이기 방전의 조건으로서, 플라즈마생성용 전력을 400 W로 설정하고, 처리가스로서 Ar/CF4/CHF3/O2의 혼합가스를 사용하여 하부 전극에 인가하는 고주파 바이어스로서 150 W를 설정하였다.
도 9는 습식 세정후의 길들이기 방전시간에 대한 플라즈마 착화시간의 추이를 나타낸 도면이다. 도 9에 나타내는 바와 같이 습식 세정 직후는 길들이기 방전을 행하여도 플라즈마 착화시간은 장치 1 및 장치 2 모두 불안정하다. 그러나 길들이기 방전을 반복함으로써 플라즈마 착화시간은 안정된다. 이것은 습식 세정후에 처리용기 내벽에 잔류하고 있는 수분 또는 세정에서의 잔류물이 길들이기 방전을 반복함으로써 제거되어 처리용기 내벽의 표면상태가 안정되고, 또 길들이기 방전에 의한 에칭작용에 따라 생성된 반응생성물이 처리용기 내벽에 퇴적하여 안정된 것을 나타내고 있다.
즉, 처리용기에 플라즈마생성용 고주파 전력을 공급하고 나서, 플라즈마가 착화하기까지의 시간을 검출하고, 그 시간이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정할 수 있다.
[실시예 7]
도 10은 제 7 실시예를 설명하는 도면이다. 본 실시예에서는 모니터링하는 파라미터로서 정전흡착전압(ESC 전압)을 설정한다. 또한 정전흡착전압은 정전흡착전원(112)이 발생하는 직류전압이고, 웨이퍼(108)를 하부 전극(109)에 정전흡착시키는 전압이다. 정전흡착전압은, 정전흡착용 전원(112)의 전원전압이 일정하여도 내부저항에 의하여 변동한다. 즉 정전흡착전류의 변동에 따라 변동한다.
본 실시예에서는 3대의 동일 사양의 에칭장치(장치 1, 장치 2, 장치 3)의 각각에 습식 세정을 실시한 후, 터보분자 펌프(116)에 의하여 진공배기를 개시하고, 처리용기 내 압력이 0.0005 Pa 이하가 될 때까지 진공배기를 계속한다. 이어서 처리조건으로서 예를 들면 처리용기 내 압력을 2.0 Pa로 제어하고, 플라즈마생성용 전력을 600 W로 설정하고, 처리가스로서 Cl2/HBr/O2의 혼합가스를 사용하여 플라즈마를 생성하고, RF 바이어스를 50 W 인가한 조건으로 처리를 행하였다.
도 10은 정전흡착전압의 시간 추이를 나타내는 도면이다. 도면에 나타내는 바와 같이 습식 세정 직후는 정전흡착전압이 작고, 길들이기 방전이 충분해짐에 따라 정전흡착전압이 안정된다. 이 현상은 이하와 같이 추측한다. 길들이기 방전이 불충분할 때는 습식 세정에 의한 잔류 수분 또는 반응생성물로부터의 아웃가스에 의하여 방전이 불안정해진다. 이 때문에 정전흡착전압은 작고, 그 값도 불균일하다. 길들이기 방전이 충분해지면 상기 잔류수분 또는 상기 반응생성물은 제거되고, 아웃가스가 감소하여 플라즈마는 안정된다. 이에 의하여 정전흡착전압이 안정된다.
이와 같이 하부 전극에 공급하는 정전흡착전압의 불균일이 플라즈마처리시간의 증가와 함께 감소하고, 또한 상기 정전흡착전압이 증가하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정할 수 있다.
[실시예 8]
본 실시예에서는 모니터하는 파라미터로서 하부 전극과 그 하부 전극 위에 탑재한 웨이퍼 사이에 공급하는 전열가스의 유량을 설정한다. 전열가스(He)의 유량은 습식 세정 직후는 크고, 길들이기 방전이 충분해짐에 따라 감소하여 안정된다.
본 실시예에서는 3대의 동일 사양의 에칭장치의 각각 습식 세정을 실시한 후, 터보분자 펌프(116)에 의하여 진공배기를 개시하고, 처리용기 내 압력이 0.0005 Pa 이하가 될 때까지 진공배기를 계속한다. 이어서 처리조건으로서 예를 들면 처리용기 내 압력을 2.0 Pa로 제어하고, 플라즈마생성용 전력을 600 W로 설정하고, 처리가스로서 Cl2/HBr/O2의 혼합가스를 사용하여 플라즈마를 생성하고, RF 바이어스를 50 W 인가한 조건으로 처리를 행하였다.
습식 세정후, Si 웨이퍼(더미웨이퍼)를 사용하여 길들이기 방전을 반복하여 실시함으로써, 하부 전극의 헤드부의 잔류 수분이 제거되고, 또 하부 전극 표면의 바깥 둘레부가 반응생성물에 덮여져 웨이퍼의 흡착력이 회복된다. 이에 의하여 전열가스의 유량이 감소하여 안정되는 것으로 생각된다. 또한 전열가스의 유량 대신에 전열가스의 압력(웨이퍼의 이면측에서의 압력)의 추이를 이용할 수 있다.
즉, 하부 전극과 상기 하부 전극 위에 탑재한 시료 사이에 공급하는 전열가스의 유량을 검출하여, 그 유량이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때 길들이기 방전의 종료라고 판정할 수 있다.
이상 설명한 바와 같이 각 실시예에서 길들이기 방전의 종료라고 판정한 시점, 즉
(1) 플라즈마 중의 탄소(C2)와 수소(H)의 발광 강도비(C2/H)가 감소하여 안정값에 도달하였을 때,
(2) 하부 전극에 공급하는 고주파 바이어스의 피크 투 피크 전압이 플라즈마처리시간의 증가와 함께 증가하여 안정값에 도달하였을 때,
(3) 플라즈마처리 중에서의 상기 배기밸브의 개방도가 플라즈마처리시간의 증가와 함께 감소하고 있어 안정값에 도달하였을 때,
(4) 플라즈마방전 종료후, 처리용기 내 압력이 소정 진공압으로 배기될 때까지 요하는 시간이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때,
(5) 정합기를 구성하는 콘덴서의 정합 동작시의 정전용량이 플라즈마처리시 간의 증가와 함께 증가하여 안정값에 도달하였을 때,
(6) 안테나 전극에 정합기를 거쳐 고주파 전력을 공급하고 나서, 플라즈마가 착화하기까지의 시간이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때,
(7) 하부 전극에 공급하는 정전흡착전압의 불균일이 플라즈마처리시간의 증가와 함께 감소하고, 또한 상기 정전흡착전압이 증가하여 안정값에 도달하였을 때,
(8) 하부 전극과 그 하부 전극 위에 탑재한 시료 사이에 공급하는 전열가스의 유량이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때는 모두 습식 세정 시에 부착된 수분(잔류 수분) 등에 의거하는 아웃가스의 공급이 소실된 시점, 또는 장치에 소정량의 반응생성물이 부착되어 장치가 안정화된 시점에 밀접하게 관련되어 있다고 생각된다.
따라서 적어도 상기 아웃가스에 따르는 처리실 내 압력의 상승(배기에 요하는 시간의 증대)을 간접적으로 측정함으로써 길들이기 방전의 종료시점을 판정할 수 있다. 이 때문에 이상에 설명한 각 실시예에서는 길들이기 방전 중에 어느 특정한 물리량(파라미터)이 길들이기 방전시간의 경과와 함께 변화되고, 그 후 안정되는 것을 이용하여 길들이기 방전의 종점을 판정하고 있다.
상기 물리량이 안정되었다고 판정하는 기준의 설정에 있어서 발명자들은 사전에 수많이 실험을 행하여 상기 물리량이 어떻게 추이하는지를 기록하였다.
상기 실험결과에 의하면, 습식 세정후에 길들이기 방전을 계속한 경우, 매회안정되어 일정값이 되는 파라미터도 있으면 습식 세정마다 안정되는 값이 다른 파 라미터도 있다.
예를 들면 상기 파라미터의 값이, 관계하는 부품의 소모도 등에 영향받지 않는 경우에는 습식 세정마다 파라미터가 안정되는 값이 변화되는 일은 없다(예를 들면, 실시예 2, 3, 4, 5, 6, 7, 8).
한편, 상기 파라미터의 값이, 관계하는 부품의 소모도 등에 영향받는 경우에는 습식 세정마다 파라미터가 안정되는 값이 다른 것이 된다(예를 들면, 실시예 1).
전자의 경우(파라미터의 값이 매회 재현되는 경우)에는 종점판정에서는 파라미터의 값이 어느 문턱값을 넘음(또는 하회한다)으로써 판정할 수 있다. 이 판정방법을 이하, 레벨판정이라 부르기로 한다.
또, 후자의 경우(파라미터의 값이 매회 다른 경우)에는 어느 특정시점의 파라미터의 값을 단독으로 사용하는 것만으로는 종점판정을 행할 수 없다. 이 때문에 몇번인가 실시하는 길들이기 방전 중의 파라미터의 변화량을 체크함으로써 종점판정을 행할 필요가 있다. 이와 같은 판정은 종래부터 플라즈마발광을 사용한 에칭종점판정에서는 실시되고 있다. 이 방법에서는 파라미터의 1차, 또는 2차 미분값을 계산하여, 그 변화량에 의하여 판정을 행한다. 이하, 이 방법을 1차, 2차 아울러 미분판정법이라 부른다.
도 11은 레벨 판정법의 원리를 설명하는 도면이다. 도 11에 나타내는 바와 같이 길들이기 방전시간의 경과와 함께 파라미터의 값이 변화되어, 안정영역에서 안정된다. 습식 세정마다 이와 같이 안정영역에서 안정되어 그 재현성이 충분한 경우에는 안정영역에서의 안정값의 예를 들면 90%를 넘은 시점을 길들이기 방전의 종점으로 할 수 있다. 또한 이 종점에서는 실제로는 아직 안정영역에 들어 오지 않기 때문에 실제 운용에서는 종점판정 후 다시 일정한 더미방전시간을 추가하면 좋다.
도 12는 미분법의 원리를 설명하는 도면이다. 도 12(a)에 나타내는 바와 같이 추이하는 파라미터를 1차 미분하면 도 12(b)에 나타내는 1차 미분값이 얻어지고, 2차 미분하면 도 12(c)에 나타내는 2차 미분값이 얻어진다. 이와 같이 하여 얻어진 1차 미분값 또는 2차 미분값을 문턱값과 비교하여 길들이기 방전의 종점을 판정한다. 종점판정에서는 오판정 방지용 데드타임을 설정하고, 설정한 데드타임 경과한 후의 1차 미분값 또는 2차 미분값과 문턱값을 비교하여 판정한다.
도 12(b)의 예에서는 1차 미분값이 문턱값을 하회한 경우, 파라미터의 변동이 작아지는 것을 나타내고 있기 때문에, 1차 미분값이 문턱값을 하회한 시점을 종점이라고 판정한다.
도 12(c)의 예에서는 2차 미분값이 문턱값 1을 하회하고, 이어서 문턱값 2를 넘은 시점을 종점이라고 판정한다. 2차 미분값의 극대, 극소값은 원래의 신호의 변곡점을 나타내기 때문에 상기한 바와 같이 2차 미분값과 문턱값을 2번 비교함으로써 원래의 신호가 일정상태로부터 상승으로 변하고, 다시 일정값으로 안정된 것을 알 수 있다.
[실시예 9]
본 실시예에서는 모니터하는 파라미터로서 상기 실시예 1 내지 8에서 모니터 한 파라미터의 모두를 모니터한다. 즉, 상기 각 실시예에서 모니터한 파라미터는 더미웨이퍼를 사용한 길들이기 방전 중에, 동시에 모니터할 수 있다. 따라서 실시예 1내지 8의 각각에 개시한 방법에 의하여 길들이기 방전의 종점을 검출하는 것이 가능하나, 모든 항목을 사용하여 종합적으로 판정할 수도 있다. 이하에 종합판정의 예(종합판정예 1, 2, 3)를 도 13, 도 14, 도 15를 참조하여 설명한다.
(종합 판정예 1)
도 13은 종합 판정예 1을 설명하는 도면이다. 이 예에서는 먼저 모니터하는 항목(파라미터)마다 점수를 부여한다. 다음에 모니터한 항목마다 길들이기 방전의 종점을 판정할 수 있었는지의 여부를 판별하여, 종점을 판정할 수 있었던 항목에 대하여 부여된 상기 점수만을 누적 가산한다.
도 13의 예에서는 각 항목마다 각 10점을 부여하고, 종점을 판정할 수 있었던 항목(1, 2, 6, 7, 8)에 부여된 점수를 누적 가산한다. 이 예에서는 종점을 판정할 수 있었던 항목이 5항목 있기 때문에 누적 가산값은 50점이 된다. 또 모니터하는 항목은 8항목 있기 때문에 합계 점수는 최대 80점이 된다. 이중, 예를 들면 60점 획득하면 그 시점에서 종합판정으로서 길들이기 방전이 종료한 것으로 할 수 있다.
(종합 판정예 2)
도 14는 종합 판정예 2를 설명하는 도면이다. 이 예에서는 먼저 모니터하는 항목(파라미터)마다 가중 계수를 부여한다. 또 도 13의 예와 마찬가지로 각 항목에 10점을 부여하여 둔다. 다음에 모니터한 항목마다 길들이기 방전의 종점을 판 정할 수 있었는지의 여부를 판별하여, 종점을 판정할 수 있었던 항목에 대하여 부여된 상기 점수에만 상기 가중 계수를 적산하여 누적 가산한다.
도 14의 예에서는 각 항목마다 각 10점을 부여하고, 다시 도면에 나타내는 가중 계수를 부여한다. 다음에 종점을 판정할 수 있었던 항목(1, 2, 6, 7, 8)에 부여된 점수에 가중 계수를 누적 가산한다. 이 예에서는 종점을 판정할 수 있었던 항목이 5항목 있기 때문에 누적 가산값은 80점이 된다. 또 모니터하는 항목은 8항목 있기 때문에 합계점수는 최대 135점이 된다. 이중 예를 들면 90점 획득하면 그 시점에서 종합판정으로서 길들이기 방전이 종료한 것으로 할 수 있다. 또한 이 예에서는 합계점이 최대 135점이 되기 때문에, 적당하게 정규화를 행하면 좋다.
(종합 판정예 3)
도 15는 종합 판정예 3을 설명하는 도면이다. 상기한 레벨 판정법에서는 현재의 값이 목표값의 몇% 인가라는 도중 경과의 값을 얻을 수 있다.
따라서 이 예에서는 모니터한 파라미터 중에서 그 레벨이 측정 가능한 것에 대해서는 측정한 레벨에 따라 진행도(0 내지 100%)를 부여하도록 하였다.
도 15의 예에서는 먼저 모니터하는 항목(파라미터)마다 가중 계수를 부여한다. 또 도 13의 예와 마찬가지로 각 항목에 10점을 부여하여 둔다. 다음에 모니터한 항목마다 길들이기 방전의 종점을 판정할 수 있었는지의 여부를 판별하여 종점을 판정할 수 있었던 항목에 대하여 부여된 상기 점수에만 상기 가중 계수 및 진행도를 적산하여 누적 가산한다. 즉, (점수 = 10(점) × (진행도 ÷ 100) × 계수)로 계산한다. 또한 진행도에 「-」표기가 있는 것은 미분 판정에 의한 것을 나 타내고 있다.
이와 같이 가중 계수 및 진행도를 가미하여 종합 판정함으로써 더욱 상세한 종합판정을 행할 수 있게 되어, 여분의 길들이기 방전시간을 줄이고, 또한 길들이기 방전에 사용하는 더미웨이퍼를 절약할 수 있다.
이상 설명한 바와 같이 에칭 파라미터의 시간 추이를 모니터링하는 기구를 가지고, 모니터링한 에칭 파라미터를 미리 설정한 판정값과 비교 연산하여 에칭장치를 제어하는 기구를 제어하기 때문에, 습식 세정후의 길들이기 방전의 종료를 고정밀도로 판정하여 길들이기 방전을 종료할 수 있다. 이에 의하여 길들이기 방전을 필요 최저한의 처리매수로 할 수 있어 반도체제조장치의 불가동시간을 단축하여 제조비용을 저감할 수 있다.
본 발명은 이상의 구성을 구비하기 때문에 습식 세정후의 길들이기 방전의 종점을 범용성이 있는 방법으로 고정밀도로 판정할 수 있는 플라즈마처리기술을 제공할 수 있다.

Claims (13)

  1. 안테나 전극 및 처리용기 내에 시료를 탑재하여 유지하는 하부 전극을 구비한 플라즈마처리용기와,
    상기 처리용기 내에 처리가스를 공급하는 가스공급장치 및 처리용기 내의 가스를 배기밸브를 거쳐 배기하는 배기펌프를 구비하고,
    상기 안테나 전극에 정합기를 거쳐 고주파 전력을 공급하여 자장코일에 의하여 자장을 형성한 상기 처리용기 내에 플라즈마를 생성하여 상기 하부 전극 위에 탑재된 시료에 플라즈마처리를 실시하는 처리를, 복수의 시료에 대하여 차례로 반복하는 플라즈마처리방법에 있어서,
    플라즈마처리 중에서의 처리용기 내 압력을 포함하는 파라미터가 플라즈마처리시간의 증가와 함께 안정되어 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
  2. 제 1항에 있어서,
    플라즈마처리 중에서의 플라즈마 중의 탄소(C2)와 수소(H)의 발광 강도비(C2/H)를 상기 파라미터로서 검출하는 검출장치를 구비하고, 상기 검출장치의 검출 출력이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
  3. 제 1항에 있어서,
    하부 전극에 공급하는 고주파 바이어스의 피크 투 피크 전압을 상기 파라미터로서 검출하고, 검출 전압이 플라즈마처리시간의 증가와 함께 증가하여 안정값에 도달하였을 때 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
  4. 제 1항에 있어서,
    플라즈마처리 중에서의 상기 배기밸브의 개방도를 상기 파라미터로서 검출하여, 상기 밸브의 개방도가 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
  5. 제 1항에 있어서,
    플라즈마방전 종료 후, 처리용기 내 압력이 소정 진공압으로 배기될 때까지 요하는 시간을 상기 파라미터로서 검출하고, 상기 시간이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
  6. 제 1항에 있어서,
    상기 정합기를 구성하는 콘덴서의 정합시의 정전용량을 상기 파라미터로서 검출하고, 검출한 용량이 플라즈마처리시간의 증가와 함께 증가하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
  7. 제 1항에 있어서,
    상기 안테나 전극에 정합기를 거쳐 고주파 전력을 공급하고 나서, 플라즈마가 착화하기까지의 시간을 상기 파라미터로서 검출하고, 상기 시간이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
  8. 제 1항에 있어서,
    하부 전극에 공급하는 정전흡착전압을 상기 파라미터로서 검출하여, 정전흡착전압의 불균일이 플라즈마처리시간의 증가와 함께 감소하고, 또한 상기 정전흡착전압이 증가하여 안정값에 도달하였을 때 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
  9. 제 1항에 있어서,
    상기 하부 전극과 상기 하부 전극 위에 탑재한 시료 사이에 공급하는 전열가스의 유량을 상기 파라미터로서 검출하여 상기 유량이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때, 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
  10. 제 1항에 있어서,
    다음의 조건 (1) 내지 (8) 중 복수의 조건이 성립하였을 때 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처리방법.
    (1) 플라즈마 중의 탄소(C2)와 수소(H)의 발광 강도비(C2/H)가 감소하여 안정값에 도달하였을 때,
    (2) 하부 전극에 공급하는 고주파 바이어스의 피크 투 피크 전압이 플라즈마처리시간의 증가와 함께 증가하여 안정값에 도달하였을 때,
    (3) 플라즈마처리 중에서의 상기 배기밸브의 개방도가 플라즈마처리시간의 증가와 함께 감소하고 있어 안정값에 도달하였을 때,
    (4) 플라즈마방전 종료후 처리용기 내 압력이 소정 진공압으로 배기될 때까지 요하는 시간이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때,
    (5) 상기 정합기를 구성하는 콘덴서의 정합 동작시의 정전용량이 플라즈마처리시간의 증가와 함께 증가하여 안정값에 도달하였을 때,
    (6) 상기 안테나 전극에 정합기를 거쳐 고주파 전력을 공급하고 나서, 플라 즈마가 착화하기까지의 시간이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때,
    (7) 하부 전극에 공급하는 정전흡착전압의 불균일이 플라즈마처리시간의 증가와 함께 감소하고, 또 상기 정전흡착전압이 증가하여 안정값에 도달하였을 때,
    (8) 상기 하부 전극과 그 하부 전극 위에 탑재한 시료 사이에 공급하는 전열가스의 유량이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때,
  11. 안테나 전극 및 처리용기 내에 시료를 탑재하여 유지하는 하부 전극을 구비한 플라즈마처리용기와,
    상기 처리용기 내에 처리가스를 공급하는 가스공급장치 및 처리용기 내의 가스를 배기밸브를 거쳐 배기하는 배기펌프를 구비하고,
    상기 처리용기를 재조립한 후에 상기 안테나 전극에 정합기를 거쳐 고주파 전력을 공급하고, 자장코일에 의하여 자장을 형성한 상기 처리용기 내에 플라즈마를 생성하여 상기 하부 전극 위에 탑재된 시료에 플라즈마처리를 실시하는 처리를, 복수의 시료에 대하여 차례로 반복하는 길들이기 방전을 행하는 플라즈마처리장치에 있어서,
    플라즈마생성상태에서의 처리용기 내 압력의 경시변화를 기초로 플라즈마처리장치의 길들이기 방전의 종료를 판정하는 연산처리부를 구비하고, 상기 연산처리부는 상기 처리용기 내 압력이 플라즈마처리시간의 증가와 함께 감소하여 안정값에 도달하였을 때 길들이기 방전의 종료라고 판정하는 것을 특징으로 하는 플라즈마처 리장치.
  12. 제 11항에 있어서,
    상기 처리용기 내 압력의 저하를 상기 배기밸브의 개방도의 저하로 검출하는 것을 특징으로 하는 플라즈마처리장치.
  13. 제 11항에 있어서,
    상기 처리용기 내 압력의 저하를, 플라즈마방전 종료 후로부터 소정 진공압에 도달하기까지에 요하는 배기시간의 감소로 검출하는 것을 특징으로 하는 플라즈마처리장치.
KR1020060075692A 2006-05-31 2006-08-10 플라즈마처리방법 및 플라즈마처리장치 KR100780021B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006152305A JP4914119B2 (ja) 2006-05-31 2006-05-31 プラズマ処理方法およびプラズマ処理装置
JPJP-P-2006-00152305 2006-05-31

Publications (1)

Publication Number Publication Date
KR100780021B1 true KR100780021B1 (ko) 2007-11-27

Family

ID=38790792

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060075692A KR100780021B1 (ko) 2006-05-31 2006-08-10 플라즈마처리방법 및 플라즈마처리장치

Country Status (4)

Country Link
US (3) US8038896B2 (ko)
JP (1) JP4914119B2 (ko)
KR (1) KR100780021B1 (ko)
TW (1) TW200744129A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101604844B1 (ko) * 2009-12-16 2016-03-18 주성엔지니어링(주) 기판 처리 장치 및 이의 처리 방법

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4914119B2 (ja) * 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
KR100816453B1 (ko) * 2006-06-28 2008-03-27 (주)쎄미시스코 공정챔버의 실시간 리크 검출 시스템
JP2009231718A (ja) * 2008-03-25 2009-10-08 Renesas Technology Corp ドライエッチング終点検出方法
US8393197B2 (en) * 2008-07-24 2013-03-12 Pivotal Systems Corporation Method and apparatus for the measurement of atmospheric leaks in the presence of chamber outgassing
JP5160393B2 (ja) * 2008-12-16 2013-03-13 東京エレクトロン株式会社 プラズマ処理方法,プラズマ処理装置,プラズマ処理装置の水分量検出方法
JP2010165738A (ja) * 2009-01-13 2010-07-29 Hitachi High-Technologies Corp プラズマ処理装置のシーズニング方法およびシーズニングの終了判定方法。
US20100332010A1 (en) * 2009-06-30 2010-12-30 Brian Choi Seasoning plasma processing systems
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
JP6078419B2 (ja) * 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
KR102543349B1 (ko) * 2016-07-11 2023-06-30 삼성전자주식회사 플라즈마 모니터링 장치
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
WO2019053836A1 (ja) 2017-09-14 2019-03-21 株式会社日立ハイテクノロジーズ プラズマ処理装置およびウェットクリーニング方法
US10134569B1 (en) * 2017-11-28 2018-11-20 Lam Research Corporation Method and apparatus for real-time monitoring of plasma chamber wall condition
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
CN112119485B (zh) 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
JP7110492B2 (ja) 2020-06-16 2022-08-01 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050662A (ja) 1996-07-29 1998-02-20 Hitachi Ltd 半導体製造方法及び装置及びそれを用いて製造された半導体素子
KR19990065311A (ko) 1998-01-12 1999-08-05 윤종용 식각 종말점 감지방법
KR200183543Y1 (ko) * 1997-12-08 2000-08-01 김영환 반도체 웨이퍼 식각장치
KR100515548B1 (ko) * 1999-05-18 2005-09-20 동경 엘렉트론 주식회사 에칭 종점 검출 방법
JP3766991B2 (ja) * 1995-10-20 2006-04-19 株式会社日立製作所 プラズマ処理の終点検出方法及び装置、並びに本検出方法及び装置を用いた半導体製造方法及び装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120173A (ja) * 1992-10-09 1994-04-28 Fujitsu Ltd エッチング終点検出方法
JPH07263408A (ja) * 1994-03-17 1995-10-13 Hitachi Ltd プラズマエッチング方法
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JPH0982645A (ja) * 1995-09-08 1997-03-28 Hitachi Ltd Cvd装置のクリーニング方法
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
JP3408409B2 (ja) * 1997-10-29 2003-05-19 松下電器産業株式会社 半導体装置の製造方法およびドライエッチング装置の反応室環境制御方法
JPH11233487A (ja) * 1998-02-13 1999-08-27 Hitachi Ltd 静電吸着電極のクリーニング方法及びその検出装置
JP2001081545A (ja) * 1999-09-09 2001-03-27 Tokyo Electron Ltd 成膜装置のクリーニング方法及びクリーニング装置
JP3535785B2 (ja) * 1999-11-26 2004-06-07 Necエレクトロニクス株式会社 クリーニング終点検出装置およびクリーニング終点検出方法
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
JP2002057149A (ja) * 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
US6566270B1 (en) * 2000-09-15 2003-05-20 Applied Materials Inc. Integration of silicon etch and chamber cleaning processes
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP3642299B2 (ja) * 2001-07-16 2005-04-27 松下電器産業株式会社 電子部品のプラズマクリーニング方法
JP4574422B2 (ja) * 2001-11-29 2010-11-04 株式会社日立ハイテクノロジーズ 発光分光処理装置
US20030159778A1 (en) * 2002-02-27 2003-08-28 Kunihiko Koroyasu Plasma processing apparatus, protecting layer therefor and installation of protecting layer
US7313451B2 (en) * 2002-03-12 2007-12-25 Tokyo Electron Limited Plasma processing method, detecting method of completion of seasoning, plasma processing apparatus and storage medium
JP4476551B2 (ja) 2003-01-29 2010-06-09 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
JP5404984B2 (ja) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US7420653B2 (en) * 2003-10-02 2008-09-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
JP4448335B2 (ja) * 2004-01-08 2010-04-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4490704B2 (ja) * 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP4723871B2 (ja) * 2004-06-23 2011-07-13 株式会社日立ハイテクノロジーズ ドライエッチング装置
JP4378234B2 (ja) * 2004-07-01 2009-12-02 株式会社日立ハイテクノロジーズ エッチング方法
JP2006073751A (ja) * 2004-09-01 2006-03-16 Ulvac Japan Ltd プラズマクリーニング処理の終点検出方法及び終点検出装置
US20060151429A1 (en) * 2005-01-11 2006-07-13 Hiroyuki Kitsunai Plasma processing method
JP4628807B2 (ja) * 2005-01-28 2011-02-09 株式会社日立ハイテクノロジーズ 真空処理装置および真空処理方法
JP2007073751A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp プラズマ処理装置および処理方法
JP4914119B2 (ja) * 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3766991B2 (ja) * 1995-10-20 2006-04-19 株式会社日立製作所 プラズマ処理の終点検出方法及び装置、並びに本検出方法及び装置を用いた半導体製造方法及び装置
JPH1050662A (ja) 1996-07-29 1998-02-20 Hitachi Ltd 半導体製造方法及び装置及びそれを用いて製造された半導体素子
KR200183543Y1 (ko) * 1997-12-08 2000-08-01 김영환 반도체 웨이퍼 식각장치
KR19990065311A (ko) 1998-01-12 1999-08-05 윤종용 식각 종말점 감지방법
KR100515548B1 (ko) * 1999-05-18 2005-09-20 동경 엘렉트론 주식회사 에칭 종점 검출 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101604844B1 (ko) * 2009-12-16 2016-03-18 주성엔지니어링(주) 기판 처리 장치 및 이의 처리 방법

Also Published As

Publication number Publication date
US20100288195A1 (en) 2010-11-18
TWI342046B (ko) 2011-05-11
JP4914119B2 (ja) 2012-04-11
US20150020970A1 (en) 2015-01-22
TW200744129A (en) 2007-12-01
JP2007324341A (ja) 2007-12-13
US9230782B2 (en) 2016-01-05
US20070281478A1 (en) 2007-12-06
US8038896B2 (en) 2011-10-18
US8900401B2 (en) 2014-12-02

Similar Documents

Publication Publication Date Title
KR100780021B1 (ko) 플라즈마처리방법 및 플라즈마처리장치
US11273469B2 (en) Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
TWI529788B (zh) 電漿未侷限之偵測方法與裝置
US20040263827A1 (en) Novel methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
CN100587902C (zh) 在线预测刻蚀设备维护的方法
KR100557673B1 (ko) 플라즈마 장비를 시즌닝하는 방법
KR19990058999A (ko) 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
US11557469B2 (en) Gas analyzer apparatus
CN1998069A (zh) 利用v-i探针识别的等离子体蚀刻终点检测方法
KR100473856B1 (ko) 플라즈마 챔버의 공정 상태 관찰방법
CN101971300B (zh) 基于废气阻抗的端点检测
US7312865B2 (en) Method for in situ monitoring of chamber peeling
JP2002110642A (ja) プラズマ処理方法
JP5160393B2 (ja) プラズマ処理方法,プラズマ処理装置,プラズマ処理装置の水分量検出方法
WO2010024489A1 (en) Leakage detecting method of process chamber
JP2007036139A (ja) プラズマ処理装置およびプラズマクリーニング終点検出方法
US10854433B2 (en) In-situ real-time plasma chamber condition monitoring
US7354778B2 (en) Method for determining the end point for a cleaning etching process
CN108461410B (zh) 清洗工艺终点监测方法及系统、半导体加工设备
KR100950788B1 (ko) 시료처리장치 및 시료처리제어장치
KR20230012345A (ko) 플라즈마 세정 장치 및 이를 포함하는 반도체 공정 설비

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121114

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131101

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141104

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee