CN1739185B - 在时分多重蚀刻工艺中的终点检测 - Google Patents

在时分多重蚀刻工艺中的终点检测 Download PDF

Info

Publication number
CN1739185B
CN1739185B CN2004800022363A CN200480002236A CN1739185B CN 1739185 B CN1739185 B CN 1739185B CN 2004800022363 A CN2004800022363 A CN 2004800022363A CN 200480002236 A CN200480002236 A CN 200480002236A CN 1739185 B CN1739185 B CN 1739185B
Authority
CN
China
Prior art keywords
etching
technology
plasma
frequency
tdm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2004800022363A
Other languages
English (en)
Other versions
CN1739185A (zh
Inventor
罗素·韦斯特曼
大卫·J·约翰逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oerlikon Management USA Inc
Original Assignee
Unaxis USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis USA Inc filed Critical Unaxis USA Inc
Publication of CN1739185A publication Critical patent/CN1739185A/zh
Application granted granted Critical
Publication of CN1739185B publication Critical patent/CN1739185B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Television Systems (AREA)
  • Time-Division Multiplex Systems (AREA)
  • Measurement And Recording Of Electrical Phenomena And Electrical Characteristics Of The Living Body (AREA)

Abstract

通过在特征工艺频率处监视工艺的光谱发射的识别区确定时分多重工艺的终点的改进方法。以在时分多重工艺期间使用的材料的预计发射光谱为基础识别区域。以在时分多重工艺中步骤的持续时间为基础确定特征工艺频率。监视光谱的幅度变化表示在时分多重工艺中工艺的终点以及材料层之间的过渡。

Description

在时分多重蚀刻工艺中的终点检测
相关申请的交叉引用
本申请要求拥有的2003年2月14日提出的题为:时分多重蚀刻工艺中的终点检测(End Point Detection in Time Division MultiplexedEtch Processes)的美国临时专利申请序列号60/447,594的和与其相关的优先权,在此作为参考引入该临时专利申请。
技术领域
本发明一般涉及半导体制造领域。更具体地,本发明涉及改进时分多重蚀刻和淀积工艺的终点检测方法。
背景技术
在许多MEMs器件的制造期间,需要蚀刻材料层,停止在下面的层(例如,绝缘体上硅(SOI)-清除硅(Si)层,停止在下面的二氧化硅层上)上来完成。允许蚀刻工艺进行超过已经除去第一层的时间可能导致下面的停止层的厚度减小或部件剖面破坏(对于SOI应用,在本领域中称为″凹口(notching)″)。
一般用来检测等离子体处理终止时间的一个方法是发射光谱测定(OES)。OES分析从等离子源发出的光,得出关于等离子体处理的化学和物理状态的推论。在半导体处理中,该技术一般在等离子体蚀刻工艺期间用来检测材料分界面。Selwyn的专著Optical DiagnosticTechniques for Plasma Processing对等离子体发射光谱法的原理和应用提供了出色的评述。
OES技术包括监视等离子体通常在光谱的UV/VIS(200nm-1100nm)部分发出的辐射。图1示出了典型OES结构的示意图。由发射辐射的光谱(即,强度对波长)确定等离子体的成分,特别是反应性蚀刻物质或蚀刻副产品的存在。在蚀刻工艺,特别是在材料转换的过程中,等离子体的成分变化,导致发射光谱中的变化。通过不断地监视等离子体发射,OES终点系统能够检测发射光谱的变化并用其确定何时膜完全清除。实际上,与终点有关的大部分信息通常包含在对应于在蚀刻期间消耗的反应物或产生的蚀刻副产品的几个波长中。
开发OES终点策略的共同方法是在终点条件之前和之后期间收集大量等离子体发射的光谱(发射强度对波长)。这些光谱可用于识别处理的候选波长范围。候选区域包含当处理到达所关心的两个材料之间的过渡区时显示显著的强度变化的波长。可以用许多方法确定终点波长候选区域。用于通过统计方法选择终点检测的光谱区,例如,要素分析或主成分分析(参见美国5,658,423 Angell等)。确定终点候选的别一个策略是通过在终点光谱之前(主蚀刻)与之后(过蚀刻)之间的差别曲线的结构。一旦选定候选区域,可以对候选区域进行可能的化学物类的分配(即,来自离解气体前身或蚀刻产物的反应物物质)。包括Zaidel等人的谱线表和Pearse等人的分子光谱标识的许多参考文献结合工艺化学的知识可用于为候选线分配可能的物质特性。在六氟化硫(SF6)等离子体中的硅(Si)蚀刻工艺的可能的终点候选的例子是在687nm和703nm的氟线(F)以及在440nm的氟化硅(SiF)辐射频带。一旦确定这些区域,可以用相同的OES策略处理后续部分。
虽然这些OES方法适用于单步处理或具有有限数量的不连续蚀刻步骤(例如,蚀刻开始后面是主蚀刻),但是难以对利用快速和周期性的等离子体扰动的等离子体处理应用OES。这种处理的例子是由Okudaira等人在美国专利4,985,114中和Laermer等人在美国专利5,501,893中公开的时分多重处理。这些作者公开了用蚀刻和淀积步骤的交错序列在Si中蚀刻高长宽比部件的TDM工艺。
图2(a-e)示出了TDM蚀刻工艺的略图。TDM蚀刻工艺一般在用与射频(RF)偏置衬底电极结合的高密度等离子源,一般为感应耦合等离子体(ICP),构成的反应器中进行。在对Si的TDM蚀刻工艺中所用的最常见的生产气体是六氟化硫(SF6)和octofluorocyclobutane(C4F8)。SF6一般用作蚀刻气体,C4F8用作淀积气体。在蚀刻步骤期间,SF6有利于自发的和各向同性的蚀刻硅(图2(b));在淀积步骤中,C4F8有利于保护淀积在蚀刻结构的侧壁以及底部上的聚合体(图2(c))。TDM工艺在蚀刻和淀积工艺步骤之间循环交替,允许将高长宽比结构定义到掩模的硅衬底中。当在蚀刻步骤中进行高能和定向离子轰击时,将除去由前面的淀积步骤中在蚀刻结构的底部涂敷的聚合物膜,露出硅表面用于进一步蚀刻(图2(d))。因为没有受到定向离子轰击的影响,所以保留了在侧壁上的聚合物膜,防止横向蚀刻。使用TDM方法允许在高Si蚀刻速度下在硅衬底中定义高长宽比部件。图2(e)示出了使用TDM工艺蚀刻的硅结构横截面的扫描电子显微镜(SEM)图像。
由于在淀积和蚀刻步骤中存在不同的等离子体条件(生产气体类型、压力、RF功率等-参见图3),所以蚀刻和淀积步骤的等离子体发射光谱显著不同。将常规OES方法应用到TDM硅蚀刻工艺导致周期性的终点轨迹(图4)。预计大多数蚀刻终点信息包含在工艺的蚀刻部分中。
Becker等人(美国专利6,200,822)说明了从TDM工艺的等离子体发射中提取终点信息的方法。Becker通过使用外面提供的触发器(一般从一个工艺步骤到下一个的过渡)仅在蚀刻步骤期间检验在等离子体中的至少一种物质(一般对于Si蚀刻为氟或SiF)的发射强度。通过使用与取样保持电路结合的触发器,在随后的蚀刻步骤中观察到的发射强度可以组合起来,得到实际上没有周期性的发射信号。对于在蚀刻步骤中的物质的发射强度值在随后的淀积步骤期间固定为最后的已知值。如此,周期性的发射信号变为类似于可以用作工艺终点确定的阶梯函数的曲线。该方法的限制是除了需要用户输入在蚀刻步骤期间在触发器与得到的发射数据之间的延迟之外,还需要外面提供的触发器。
在努力增加OES方法灵敏度的过程中,Jerde等人(美国专利4,491,499)公开了测量窄带发射光谱,同时测量以窄带为中心的更宽的背景频带的强度的方法。如此,可以从终点信号中减去背景信号,产生更精确的窄带信号值。
许多组已经检查了等离子体发射光谱的频率分量。Buck等人(美国专利6,104,487)介绍了使用数字信号处理技术,例如,快速傅里叶变换(FFT),从等离子体发射光谱中提取频率分量的方法。Buck介绍这些分量给出了关于等离子体条件变化的信息,并且可用于检测在允许蚀刻终点检测的衬底材料中的过渡。Buck介绍监视次声频率直到10Hz。Buck认为对于不同的工艺将改变监视的频率;然而,他仅考虑了稳态(单步)工艺,并且没有介绍使用与周期性和重复的时分多重(TDM)工艺结合的FFT光学发射。
Kornblit等人(美国专利6,021,215)还介绍了傅里叶变换与发射光谱学结合的用法。Kornblit介绍同时监视所有频率分量,但是没有介绍对于TDM工艺光学发射FFT的用法。
Davidow等人(美国专利6,455,437)还介绍了由等离子体发射产生频率分量,并且监视信号随时间的幅度。虽然Davidow考虑了用于蚀刻多层叠层的多步工艺,但是没有介绍用于TDM工艺的与FFT结合的光学发射的用法。此外,Davidow通过注释在工艺的过程中显现的频率表现等离子体工艺的特性-而不通过TDM工艺的循环特性检验施加于工艺过程的特征频率的幅度。
O′Neill等人(美国专利5,308,414)介绍了使用信号解调的光学发射系统。O′Neill监视与蚀刻产物有关的窄光谱区以及用作背景校正的更宽的光谱带。O′Neill还公开了通过使用锁定放大器频率解调信号的方法。锁定放大器要求外同步信号。O′Neill没有考虑多步或TDM工艺。
Sawin等人(美国专利5,450,205)介绍了使用光学发射干涉测量法(OEI)与FFT结合,确定工艺终点的系统。与分析等离子体发射的发射光谱测定(OES)相比,发射干涉测量法成像从晶片表面反射的等离子体发射,确定晶片上的膜厚度。与OES不同,OEI技术要求成像检测器到晶片表面具有无障碍的视线。OEI技术不适合用于包含重复淀积和蚀刻步骤的TDM工艺,由于随着蚀刻的进行钝化膜的循环加入和除去。
因此,存在对用于TDM等离子体工艺的不要求外触发器与工艺步骤同步进行等离子体发射数据收集的终点策略的需要。
发明内容
本发明的优选实施例涉及在衬底的各向异性等离子体刻蚀工艺期间建立终点,以通过蚀刻掩模采用等离子体在其中提供横向定义的凹槽结构的方法。根据该方法,在蚀刻步骤中通过与反应蚀刻气体接触等离子体蚀刻衬底的表面,从衬底表面除去材料并提供暴露表面。在淀积步骤中钝化衬底表面,从而用钝化层覆盖在前面的蚀刻步骤中露出的水平和垂直表面。蚀刻步骤和钝化步骤交替重复。在特定波长或波长范围和被TDM工艺的交替特性影响的特征频率监视等离子体发射。特定波长范围最好以在工艺期间所用材料的发射光谱为基础来确定。在替代实施例中,在两个或更多波长范围监视等离子体发射,并且计算第一波长范围与第二或更多波长范围幅度的比值(或其它数学运算)。在该实施例中,可以选择一种或多种波长范围表示背景信号。以蚀刻步骤持续时间与钝化步骤持续时间的和为基础确定受影响的特征工艺频率。对于典型的TDM工艺,工艺的特征频率小于5Hz。工艺在取决于监视步骤确定的时间停止。
本发明的别一个实施例涉及TDM工艺终点检测的方法。根据该方法,识别工艺的等离子体发射光谱的第一波长范围。还识别被TDM工艺影响的特征工艺频率,并且在该特征工艺频率监视等离子体发射光谱的第一波长范围。特征工艺频率基于确定的在工艺中出现的蚀刻和淀积步骤的持续时间总和。在工艺期间监视识别波长范围的幅度,确定工艺的终点。还监视发射光谱的第二或多个波长范围。以波长范围的数学组合(例如,加法、减法或除法)为基础确定TDM工艺的终点。使用快速傅里叶变换或相应的数字信号处理技术分解等离子体发射光谱的频率分量。工艺的终点一般以在刻蚀工艺期间出现材料过渡为基础。在这种情况下,光谱的识别波长范围基于在TDM工艺期间使用的材料的已知光谱特性。
本发明的又一个实施例涉及在TDM工艺中检测不同材料之间的过渡的方法。根据该方法,识别工艺的特征频率。工艺的特征频率基于作为TDM工艺的一部分进行的蚀刻步骤和淀积步骤的持续时间总和。在特征频率处监视工艺光谱发射的范围,确定不同材料之间的过渡。过渡出现在作为TDM工艺的一部分进行的一系列蚀刻步骤的终点。在特征频率处监视的光谱发射的范围取决于在TDM工艺期间使用的材料的已知光谱特性。
附图说明
图1示出了典型OES结构的示意图;
图2(a-e)示出了TDM蚀刻工艺的图示;
图3是示例性TDM工艺的淀积和蚀刻等离子体发射光谱图;
图4是示例性TDM工艺的终点轨迹;
图5示出了涉及改进TDM工艺的OES技术的本发明的实施例的概要;
图6示出了用在证实本发明的实施例中的试验晶片的强度对波长曲线;
图7示出了在TDM工艺终点前后的蚀刻光谱图;
图8示出了图7的蚀刻光谱的规格化差异光谱(differencespectrum)图;
图9示出了终点前后蚀刻发射光谱的放大图;
图10示出了在图9中识别的两个光谱区中的光谱强度值对时间的曲线;
图11示出了在总蚀刻时间的300到400秒的范围内图10的放大图;
图12是图11所示的440nm信号和443nm信号的比值图;
图13是在蚀刻过程中图12所示比值信号的图;
图14是在频域中图13中的信号的图;
图15是在工艺终点前后图14的频域信号的幅度曲线;以及
图16是图15所示的0.6Hz分量的频率曲线。
具体实施方式
本发明的优选实施例涉及在时分多重(TDM)工艺中通过分析在对应于TDM工艺的频率(v)的频率处收集的电磁发射的至少一个波长分量,检测不同材料之间的过渡的方法。
由于TDM工艺的周期性和重复特性,通过设计,工艺具有许多与其有关的特征频率。举例来说,考虑由随后重复许多次的4(四)秒蚀刻步骤和6(六)秒淀积步骤组成的两步TDM硅蚀刻工艺(参见下面的表I)。
  淀积   蚀刻
  SF<sub>6</sub>流量   sccm   0.5   100
  C<sub>4</sub>F<sub>8</sub>流量   sccm   70   0.5
  Ar流量   sccm   40   40
  压力   mtorr   22   23
  淀积   蚀刻
  RF偏置功率   W   1   12
  ICP功率   W   1000   1000
  步骤时间   秒   4   6
注意,淀积和蚀刻步骤在化学试剂、RF偏置功率和压力方面不同,导致明显不同的发射光谱。由于TDM工艺的重复特性以及和蚀刻步骤的持续时间,在表I中的例子工艺具有10秒的循环重复时间,由此预计的特征频率为0.1Hz。光谱的采样率必须足够快,以精确地记录等离子体发射的周期性的特性而不混叠。因此,采样频率必须高于奈奎斯特频率,并且最好是预计特征频率的10倍。
在图5中的示意图示出了涉及改进TDM工艺的OES技术的本发明的实施例的概要。在步骤500中用取决于工艺步骤的持续时间的至少一个特征频率构成TDM工艺。TDM工艺的特征频率一般小于5Hz。至少识别TDM工艺的等离子体发射光谱(对于等离子体发射一般在200-1100nm中)的一个波长范围,用于工艺终点检测。在步骤502中,在TDM蚀刻工艺的过程中监视光谱范围。因为TDM工艺的发射信号是周期性的和重复的,所以发射信号可以被分解为频率分量。至少一个频率分量处于特征工艺频率,并且在步骤504中提取。提取频率分量的一个方法是通过使用快速傅里叶变换(FFT),不过也可以使用其它数字信号处理(DSP)技术。如果在步骤506中检测到材料过渡,则方法转到步骤508,其中方法转到下一个工艺步骤或TDM序列。如果在步骤506中没有检测到材料过渡,则方法回到步骤502,其中监视至少一个光谱范围的等离子体强度,直到检测到材料过渡。与上述使用带有FFT的OES方案相比,在特征TDM工艺频率(一般,而不是总是,小于5Hz)处监视发射信号的幅度随时间的变化,提供在TDM蚀刻工艺期间检测层之间的过渡的可靠方法。
本发明的替代实施例使用来源于发射数据的两个或更多波长分量,以改进终点检测的灵敏度。波长分量中的至少一个是TDM工艺的特征波长。其它波长分量表示背景信号。两个或更多分量的数学组合(例如,加法、减法或除法)在某些应用中提供更高的灵敏度。
注意,本发明的方法不局限于两步循环工艺。实际上,进一步细分工艺的蚀刻部分为许多子步骤是常见的。进一步分割TDM工艺为子步骤仅导致另外的、工艺影响的特征频率。
注意在蚀刻步骤和淀积步骤的每个重复过程环中不需要的工艺参数在每个循环中保持恒定是同样重要的。例如,在硅的TDM蚀刻期间在工艺的过程中逐渐改变淀积或蚀刻步骤的效率,以保持剖面控制(profile control)(在现有技术中称为工艺变体)是常见的。在变体工艺中,在某些蚀刻或淀积步骤之间在工艺参数中进行小的参数改变。这些包括,但不局限于,气体流速、RF偏置功率、工艺压力、感应电源功率(ICP)等。这些改变还包括改变TDM循环中的工艺步骤的持续时间。如果在工艺过程中循环时间的改变大于变换的频率分辨率,则修改算法以监视邻近频率分量的总和,获取影响的工艺频率偏移。
虽然本发明的优选实施例演示了基于使用SF6/C4F8的深Si蚀刻工艺,但是如果利用时分多重工艺,本发明的优选实施例独立于化学试剂有效。在使用重复时分多重工艺的情况下,优选方法还对在其它材料,例如,介质材料和金属,中检测材料过渡特别有用。
为了演示本发明的实施例的效用,使用TDM配方蚀刻绝缘体上硅(SOI)晶片。配方列在下面的表2中。下面的例子将本发明的实施例应用于3步TDM Si蚀刻工艺。
  淀积   蚀刻A   蚀刻B
  SF<sub>6</sub>流量   sccm   1   50   100
  C<sub>4</sub>F<sub>8</sub>流量   sccm   70   1   1
  Ar流量   sccm   40   40   40
  压力   mtorr   22   23   23
  RF偏置功率   W   1   12   12
  ICP功率   W   1500   1500   1500
  步骤时间   秒   6   3   7
在市场上可买到的Unaxis Shuttlelock系列深硅蚀刻(DSE)工具上进行实验。使用市场上可买到的Unaxis Spectraworks发射光谱仪在1Hz的频率下收集发射光谱。
为了确定所关心的波长范围,蚀刻试验晶片,并且分析在清除硅层(工艺终点)之前和之后的淀积步骤和蚀刻步骤中的等离子体发射光谱。图6示出了试验晶片的等离子体发射光谱的强度对波长曲线。在图6中示出了在工艺600的终点以前的淀积光谱、在工艺602的终点之后的淀积光谱、在工艺604的终点以前的蚀刻光谱和在工艺606的终点之后的蚀刻光谱。用在图顶部的线表示检测器饱和608。因为在工艺的淀积阶段期间少量蚀刻是可能发生的,所以图7集中在来自硅清除之前700和之后702的蚀刻步骤的发射光谱。注意,在靠近450nm的蚀刻光谱中轻微的差别704。为了确定终点候选,构造逐点差异光谱。
在图8中示出了合成的光谱800。终点检测的候选出现在440nm和686nm。440nm峰值可归因于氟化硅(SiF)发射(蚀刻产物-随着Si清除而减小),而686nm峰值可归因于氟(F)发射(反应物-随着Si清除而增加)。
以440发射峰为基础构造终点策略。图9示出了终点前900和后902的蚀刻发射光谱的放大图,以便更严格地检验440nm峰值。为了降低相关噪声,监视两个光谱区904和906-窄440nm峰值(SiF发射)和围绕445nm的用于背景校正的较宽的光谱区。
图10画出了两个区对时间的值。虽然随着蚀刻进行振荡信号的峰峰值轻微的减小,但是难以确定工艺终点。图11示出了在总蚀刻时间的300到400秒的范围内图10的放大图。注意,信号(440nm)1100和背景(445nm)1102区在高强度淀积步骤1104期间彼此较好地追踪,但是靠近蚀刻步骤1106的终点出现偏离。构造440nm信号与445nm背景的比产生图12,在蚀刻和淀积步骤之间大的发射变化已经消除,并且得到的信号更多的代表蚀刻发生的程度。注意,如图12所示的比值信号1200的周期性和重复特性。
图13示出了在蚀刻过程中的比值信号(440nm SiF/445nm背景)1300。注意,在靠近600秒时峰值高度的连续减小,如图13所示。在图13中所示的轨迹的特征频率为0.06Hz。这是配方影响的频率,对应于16秒,是在表2中的循环过程的各个工艺步骤时间的总和。
使用快速傅里叶变换(FFT)计算将图13中的信号从时间域转换到频域,产生图14所示的功率谱。FFT计算基于128元素阵列,随着工艺进行追加形成OES信号的推移窗口。用点线盒突出的频率是由于TDM工艺配方的周期性和重复特性引起的预计频率。图15示出了在工艺中在两个点处FFT功率谱的幅度。注意,0.06Hz分量在终点之前具有较大的幅度,并且在Si层清除之后几乎减小到零。画出0.06Hz分量随时间幅度产生图16所示的工艺终点轨迹。可以通过各种方式由该曲线确定实际终点时间,包括但不限于简单的阈值检测或差分检测。这种技术是本领域的技术人员非常清楚的。
以上讨论的实施例通过在工艺的特征频率处监视淀积和蚀刻步骤的发射光谱允许精确地确定TDM工艺的终点。精确地确定这种工艺的终点的能力最小化或消除了过蚀刻所要求部件的可能性,由此减小了下面的停止层的厚度。另外,也最小化了在现有技术中已知为″凹口″的部件剖面破坏。此外,本发明最适合用在任何带有快速和周期性等离子体扰动的等离子体工艺中。由此,本发明是在现有技术上进行的本质改进。
本公开包括在附带的权利要求书中包含的部分以及上述介绍。虽然以具有一定程度的细节的优选形式介绍了本发明,但是,不用说,仅通过例子的方式作出了优选形式的本公开,并且可以进行结构详图的许多改变以及部件的组合和排列而不脱离本发明的精神和范围。

Claims (10)

1.在等离子体蚀刻工艺中建立终点的方法,该方法包括以下步骤:
在真空室中放置衬底;
通过等离子体从衬底上蚀刻材料;
通过等离子体在衬底上淀积钝化层;
进行重复蚀刻步骤和淀积步骤的工艺循环;
在对应于工艺循环步骤的蚀刻步骤和淀积步骤的持续时间的特征频率处监视等离子体发射强度的变化;
根据所述监视步骤停止工艺循环步骤;以及
从真空室中除去衬底。
2.权利要求1的方法,其中所述特征频率小于5Hz。
3.权利要求1的方法,其中所述工艺循环还包括每工艺循环多个蚀刻步骤。
4.权利要求1的方法,其中所述工艺循环还包括每工艺循环多个淀积步骤。
5.权利要求1的方法,其中监视步骤还包括在多个波长范围处监视等离子体发射强度。
6.权利要求5的方法,其中监视步骤还包括进行数学运算,提取多个频率分量,获得至少一个所述的特征频率。
7.权利要求6的方法,其中数学运算是快速傅里叶变换。
8.权利要求6的方法,其中监视步骤还包括进行数学运算,校正背景等离子体发射。
9.权利要求5的方法,其中通过等离子体发射光谱的数学分析选择所述多个波长范围。
10.权利要求9的方法,其中所述数学分析是主分量分析。
CN2004800022363A 2003-02-14 2004-02-04 在时分多重蚀刻工艺中的终点检测 Expired - Lifetime CN1739185B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US44759403P 2003-02-14 2003-02-14
US60/447,594 2003-02-14
US10/770,839 US6982175B2 (en) 2003-02-14 2004-02-02 End point detection in time division multiplexed etch processes
US10/770,839 2004-02-02
PCT/US2004/003235 WO2004075255A2 (en) 2003-02-14 2004-02-04 End point detection in time division multiplexed etch processes

Publications (2)

Publication Number Publication Date
CN1739185A CN1739185A (zh) 2006-02-22
CN1739185B true CN1739185B (zh) 2010-11-03

Family

ID=32912269

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800022363A Expired - Lifetime CN1739185B (zh) 2003-02-14 2004-02-04 在时分多重蚀刻工艺中的终点检测

Country Status (7)

Country Link
US (1) US6982175B2 (zh)
EP (1) EP1593141B1 (zh)
JP (1) JP4724795B2 (zh)
CN (1) CN1739185B (zh)
AT (1) ATE381773T1 (zh)
DE (1) DE602004010786T2 (zh)
WO (1) WO2004075255A2 (zh)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4101280B2 (ja) * 2006-07-28 2008-06-18 住友精密工業株式会社 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8691702B2 (en) 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103151300A (zh) * 2013-02-20 2013-06-12 上海华力微电子有限公司 一种去除硬质掩膜结构中缺陷的方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
EP3594998B1 (en) 2013-03-06 2022-01-05 Plasma-Therm, Llc Method for plasma dicing a semi-conductor wafer
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP6560909B2 (ja) * 2015-01-19 2019-08-14 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6316224B2 (ja) * 2015-02-17 2018-04-25 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10015412B2 (en) * 2016-09-06 2018-07-03 The Trustees For The Time Being Of Junior Barnes Family Trust Video capturing system and method for imaging cyclically moving objects
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
EP3632189B1 (en) * 2017-05-25 2023-09-06 Oerlikon Metco (US) Inc. Plasma gun diagnostics apparatus and method
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
KR20200130870A (ko) 2018-04-10 2020-11-20 램 리써치 코포레이션 피처들을 특징화하기 위한 머신 러닝의 광학 계측
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795529A (en) * 1986-10-17 1989-01-03 Hitachi, Ltd. Plasma treating method and apparatus therefor
US5208644A (en) * 1990-05-18 1993-05-04 Xinix, Inc. Interference removal
US6200822B1 (en) * 1997-07-17 2001-03-13 Robert Bosch Gmbh Method for detecting the transition between different materials in semiconductor structures
US6238937B1 (en) * 1999-09-08 2001-05-29 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra with thresholding
CN1380541A (zh) * 2001-04-10 2002-11-20 华邦电子股份有限公司 以光学方法测量温度并监控蚀刻率的方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4491499A (en) * 1984-03-29 1985-01-01 At&T Technologies, Inc. Optical emission end point detector
JPS62194426A (ja) * 1986-02-21 1987-08-26 Hitachi Ltd 分光器
JPS6323324A (ja) * 1986-07-16 1988-01-30 Sharp Corp ドライエツチング装置
JPH088237B2 (ja) * 1986-10-17 1996-01-29 株式会社日立製作所 プラズマ処理方法
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
JP3766991B2 (ja) * 1995-10-20 2006-04-19 株式会社日立製作所 プラズマ処理の終点検出方法及び装置、並びに本検出方法及び装置を用いた半導体製造方法及び装置
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US6104487A (en) * 1996-12-20 2000-08-15 Texas Instruments Incorporated Plasma etching with fast endpoint detector
US6021215A (en) * 1997-10-10 2000-02-01 Lucent Technologies, Inc. Dynamic data visualization
JP2000012527A (ja) * 1998-06-25 2000-01-14 Sumitomo Metal Ind Ltd エッチング終点判定方法及びエッチング終点判定装置
GB9827065D0 (en) * 1998-12-10 1999-02-03 Orbis Technologies Ltd A plasma etching control device
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
JP2001044171A (ja) * 1999-07-28 2001-02-16 Matsushita Electric Ind Co Ltd エッチング終点検出方法および装置
JP2001093885A (ja) * 1999-09-22 2001-04-06 Olympus Optical Co Ltd エッチング監視装置
US6745095B1 (en) * 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795529A (en) * 1986-10-17 1989-01-03 Hitachi, Ltd. Plasma treating method and apparatus therefor
US5208644A (en) * 1990-05-18 1993-05-04 Xinix, Inc. Interference removal
US6200822B1 (en) * 1997-07-17 2001-03-13 Robert Bosch Gmbh Method for detecting the transition between different materials in semiconductor structures
US6238937B1 (en) * 1999-09-08 2001-05-29 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra with thresholding
CN1380541A (zh) * 2001-04-10 2002-11-20 华邦电子股份有限公司 以光学方法测量温度并监控蚀刻率的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
US 4795529 A,全文.

Also Published As

Publication number Publication date
US6982175B2 (en) 2006-01-03
CN1739185A (zh) 2006-02-22
JP4724795B2 (ja) 2011-07-13
EP1593141B1 (en) 2007-12-19
JP2006518913A (ja) 2006-08-17
DE602004010786D1 (de) 2008-01-31
ATE381773T1 (de) 2008-01-15
WO2004075255A2 (en) 2004-09-02
DE602004010786T2 (de) 2008-12-04
EP1593141A2 (en) 2005-11-09
WO2004075255A3 (en) 2004-11-04
US20040175913A1 (en) 2004-09-09

Similar Documents

Publication Publication Date Title
CN1739185B (zh) 在时分多重蚀刻工艺中的终点检测
CN100401491C (zh) 时分复用处理中的包络跟随器终点检测
US20060006139A1 (en) Selection of wavelengths for end point in a time division multiplexed process
US5739051A (en) Method and device for detecting the end point of plasma process
TWI556340B (zh) End point detection device and method for plasma processing process
US9005461B2 (en) Plasma monitoring method and plasma monitoring system
KR102342686B1 (ko) 플라스마 처리 방법
Jang et al. Sensitivity Enhancement of Dielectric Plasma Etching Endpoint Detection by Optical Emission Spectra With Modified $ K $-Means Cluster Analysis
US20030064521A1 (en) Method for ending point detection during etching process
Goodlin Multivariate endpoint detection of plasma etching processes
Westerman et al. Endpoint detection method for time division multiplex etch processes
JP4284130B2 (ja) 終点検出方法、加工方法、膜質評価方法及び電子デバイスの製造方法
US10522429B2 (en) Method of manufacturing semiconductor device
Goodlin et al. Quantitative Analysis and Comparison of Endpoint Detection Based on Multiple Wavelength Analysis
TWI317539B (en) End point detection in time division multiplexed etch process
Gasc et al. Real-time etching process monitoring and end-point detection with a time-of-flight mass spectrometer
KR20240030108A (ko) 검사 방법, 이를 포함하는 기판 처리 방법, 및 이를 이용한 기판 처리 장치
CN111029239A (zh) 一种估算干刻设备中等离子体气体温度的方法
de M Azevedo et al. Charge equilibration process for channeled He ions along the Si< 1 0 0> direction
Stillahn et al. The role of plasma-surface interactions in process chemistry: mechanistic studies of a-CNx deposition and SF6/O2 etching of silicon
Franz et al. Plasma etch processes
Stillahn The role of plasma-surface interactions in process chemistry: Mechanistic studies of a-carbon nitride deposition and sulfur fluoride/oxygen etching of silicon
EP1485937A2 (en) Use of light emitting chemical reactions for control of semiconductor production processes

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20101103