DE602004010786T2 - Endpunktbestimmung in zeitmultiplex-ätzprozessen - Google Patents

Endpunktbestimmung in zeitmultiplex-ätzprozessen Download PDF

Info

Publication number
DE602004010786T2
DE602004010786T2 DE602004010786T DE602004010786T DE602004010786T2 DE 602004010786 T2 DE602004010786 T2 DE 602004010786T2 DE 602004010786 T DE602004010786 T DE 602004010786T DE 602004010786 T DE602004010786 T DE 602004010786T DE 602004010786 T2 DE602004010786 T2 DE 602004010786T2
Authority
DE
Germany
Prior art keywords
etching
plasma
emission
deposition
tdm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE602004010786T
Other languages
English (en)
Other versions
DE602004010786D1 (de
Inventor
Russell Largo WESTERMAN
David Palm Harbor JOHNSON
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oerlikon Management USA Inc
Original Assignee
Unaxis USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis USA Inc filed Critical Unaxis USA Inc
Publication of DE602004010786D1 publication Critical patent/DE602004010786D1/de
Application granted granted Critical
Publication of DE602004010786T2 publication Critical patent/DE602004010786T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Measurement And Recording Of Electrical Phenomena And Electrical Characteristics Of The Living Body (AREA)
  • Television Systems (AREA)
  • Time-Division Multiplex Systems (AREA)

Description

  • Anwendungsbereich der Erfindung
  • Die vorliegende Erfindung betrifft den Bereich der Halbleiterherstellung. Genauer ausgedrückt betrifft die vorliegende Erfindung ein verbessertes Verfahren zur Bestimmung des Endpunktes in einem Zeitteilungs-Multiplex-Ätz- und Abscheide- bzw. Ablagerungsprozess.
  • Hintergrund der Erfindung
  • Bei der Herstellung vieler Speichervorrichtungen ist es erforderlich, eine Werkstoffschicht vollständig zu ätzen, wobei die darunterliegende Schicht die Untergrenze bildet (zum Beispiel Silizium auf einem Isolator Entfernen einer Silizium (Si)-Schicht, wobei die darunterliegende Siliziumdioxidschicht die Untergrenze bildet). Wenn zugelassen wird, dass der Ätzprozess über die Zeit hinaus fortgesetzt wird, wenn die erste Schicht entfernt wurde, kann eine verringerte Dicke der darunterliegenden Sperrschicht oder eine Merkmalsprofilverschlechterung zum Ergebnis haben (in dem Bereich als „Kerbung" für SOI-Anwendungen bekannt).
  • Ein Verfahren, welches allgemein zur Erkennung von Plasmaprozess-Endzeiten verwendet wird, ist die optische Emissionsspektrometrie (Eingangs- und Ausstoßöffnungen). Die OES analysiert von einer Plasmaquelle ausgestrahltes Licht, um daraus Schlussfolgerungen über den chemischen und physikalischen Status des Plasmaprozesses zu ziehen. Bei der Halbleiterverarbeitung wird diese Technik allgemein verwendet, um Werkstoffgrenzflächen während Plasmaätzprozessen zu erkennen. In Selwyns Monographie „Optical Diagnostic Techniques for Plasma Processing" wird eine hervorragender Einblick in die Prinzipien und die Anwendung von Plasmaemissionsspektrometrie gegeben.
  • Die OES-Technik beinhaltet die Überwachung der von dem Plasma abgegebenen Strahlung, gewöhnlich in dem UV/VIS-(200 nm–1100 nm)Anteil des Spektrums. In 1 ist ein Schema einer typischen OES-Konfiguration dargestellt. Die Zusammensetzung des Plasmas und insbesondere das Vorhandensein reaktiver Ätzarten oder von Ätznebenprodukten wird durch die Spektren (d. h. Intensität gegen Wellenlänge) der abgegebenen Strahlung bestimmt. Im Verlauf eines Ätzprozesses und insbesondere eines Werkstoffüberganges verändert sich die Zusammensetzung des Plasmas, was eine Veränderung des Emissionsspektrums zum Ergebnis hat. Durch ununterbrochene Überwachung der Plasmaemission ist es für ein OES-Endpunktsystem möglich, eine Veränderung des Emissionsspektrums zu erkennen und sie zu verwenden um zu bestimmen, wann der Film vollständig entfernt ist. In der Praxis ist die Mehrzahl der sich auf Endpunkte beziehenden Informationen gewöhnlich innerhalb einiger weniger Wellenlängen enthalten, die verbrauchten Recktanten oder während der Ätzung erzeugten Ätznebenprodukten entsprechen.
  • Ein häufiges Verfahren zur Entwicklung einer OES-Endpunktstrategie ist das Sammeln einer Anzahl von Spektren der Plasmaemission (Emissionsintensität gegen Wellenlänge) während beiden Vor- und Nach-Endpunktzuständen. Diese Spektren können verwendet werden, um Wellenlängenbereiche zu identifizieren, die Kandidaten für den Prozess sind. Kandidatenbereiche enthalten die Wellenlängen, die eine bedeutende Intensitätsveränderung an den Tag legen, wenn der Prozess den Übergang zwischen den zwei interessierenden Werkstoffen erreicht. Als Kandidaten dienende Endpunktwellenlängenbereiche können unter Verwendung einer Anzahl von Verfahren bestimmt werden. Spektralbereiche für die Endpunkterkennung können durch statistische Verfahren wie zum Beispiel Faktorenanalyse oder Hauptkomponentenanalyse ausgewählt werden (siehe US 5,658,423 Angell et al).
  • Eine weitere Strategie zur Bestimmung von Endpunktkandidaten besteht in der Erstellung eines Differenzdiagramms zwischen Vor-(Hauptätzung) und Nach-Endpunkt(Überätzung)-Spektren. Sobald Kandidatenbereiche ausgewählt wurden, können Zuweisungen von wahrscheinlichen chemischen Arten für die Kandidatenbereiche vorgenommen werden (d. h. Reaktantenarten von dissozierten Gasvorstufen- oder Ätzprodukten) Eine Anzahl von Referenzen einschließlich „Tables of Spectral Lines" von Zaidel et al. und „The Identification of Molecular Spectra" von Pearse et al. können zusammen mit der Kenntnis der Prozesschemie verwendet werden, um wahrscheinliche Artenidentitäten für die Kandidatenlinien zuzuweisen. Ein Beispiel wahrscheinlicher Endpunktkandidaten für einen Silizium-(Si)Ätzprozess in einem Schwefelhexafluorid-(SF6)Plasma wären Fluoridlinien (F) bei 687 nm und 703 nm sowie das Siliziumfluoridemissions-(SiF)Band bei 440 nm. Sobald diese Bereiche bestimmt wurden, können nachfolgende Teile unter Verwendung derselben OES-Strategie verarbeitet werden.
  • Während diese OES-Ansätze bei Einzelschrittprozessen oder Prozessen mit einer begrenzten Anzahl getrennter Ätzschritte gut funktionieren (wie zum Beispiel bei einer Ätzeinleitung, der eine Hauptätzung folgt), ist es schwierig, OES auf Plasmaprozesse mit schnellen und periodischen Plasmaperturbationen anzuwenden. Beispiele solcher Prozesse sind die Zeitteilungs-Multiplexprozesse, die von Okudaira et al. in dem U.S.-Patent 4,985,114 und Laermer et al. in dem U.S.-Patent 5,501,893 offenbart werden. Diese Autoren offenbaren einen TDM-Prozess zum Ätzen von feinsten Detailmerkmalen in Si unter Verwendung einer alternierenden Reihe von Ätz- und Ablagerungsschritten.
  • In 2(a–e) wird eine schematische Darstellung des TDM-Ätzprozesses gezeigt. Der TDM-Ätzprozess wird ty pischerweise in einem Reaktor ausgeführt, der mit einer Plasmaquelle mit hoher Dichte konfiguriert ist, typischerweise ein induktiv gekoppeltes Plasma (ICP), gemeinsam mit einer radiofrequenz-(RF)-vorgespannten Substratelektrode. Die Prozessgase, die am häufigsten bei dem TDM-Ätzprozess für Si verwendet werden, sind Schwefelhexafluorid (SF6) und Oktofluorozyklobutan (C4F8). SF6 wird typischerweise als Ätzgas, und C4F8 als Ablagerungsgas verwendet. Während des Ätzschrittes erleichtert SF6 das spontane und isotrope Ätzen von Silizium (2(b)); in dem Ablagerungsschritt erleichtert C4F8 die Schutzpolymerablagerung auf den Seitenwänden sowie auf dem Boden geätzter Strukturen (2(c)). Der TDM-Prozess wechselt zyklisch zwischen Ätz- und Ablagerungsprozessschritten, wodurch die Festlegung von feinsten Detailmerkmalen in einem maskierten Siliziumsubstrat ermöglicht wird. Nach einer energetischen und gerichteten Innenbombardierung, die in den Ätzschritten vorhanden ist, wird der Polymerfilm, der bei dem vorangegangenen Ablagerungsschritt auf den Boden der geätzten Strukturen beschichtet wurde, entfernt, um die Oberfläche des Siliziums zum weiteren Ätzen freizugeben (2(d)). Der Polymerfilm auf der Seitenwand wird bleiben, da er der direkten Ionenbombardierung nicht ausgesetzt ist, wodurch seitliches Ätzen verhindert wird. Unter Verwendung des TDM-Ansatzes wird es ermöglicht, feinste Detailmerkmale in Siliziumsubstraten mit hohen Si-Ätzraten festzulegen. In 2(e) ist ein Rasterelektronenmikroskop-(SEM)Bild eines Querschnittes einer Siliziumstruktur dargestellt, die unter Verwendung eines TDM-Prozesses geätzt wurde.
  • Die Plasmaemissionsspektren von Ätz- und Ablagerungsschritten unterscheiden sich auf Grund unterschiedlicher Plasmazustände dramatisch, die bei den Ablagerungs- und Ätzschritten (Prozessgasarten, Drücke, RF-Kräfte usw. – siehe 3) vorhanden sind. Die Anwendung herkömmlicher OES-Verfahren auf einen TDM-Siliziumätzprozess hat eine Endpunktspur zum Ergebnis, die periodisch ist (4). Es wird erwartet, dass die Mehrheit der Ätzendpunktinformationen innerhalb der Ätzsegmente des Prozesses enthalten ist.
  • In Becker et al. ( U.S.-Patent 6,200,822 ) wird ein Verfahren zur Extraktion von Endpunktinformationen von der Plasmaemission eines TDM-Prozesses offenbart. Becker untersucht die Emissionsintensität mindestens einer Art (typischerweise Fluor oder SiF für eine Si-Ätzung) in dem Plasma nur während des Ätzschrittes durch die Verwendung eines extern zur Verfügung gestellten Auslösers (typischerweise der Übergang von einem Prozessschritt zum nächsten). Durch die Verwendung eines Auslösers in Verbindung mit einer Abtast- und Halteschaltung kann die in nachfolgenden Ätzschritten beobachtete Emissionsintensität zusammengefügt werden, um ein Emissionssignal zu erhalten, welches in seiner Art nicht periodisch ist. Der Wert der Emissionsintensität für die Art in dem Ätzschritt wird während des nachfolgenden Ablagerungsschrittes auf dem letzten bekannten Wert gehalten. Auf diese Weise wird das periodische Emissionssignal in eine Kurve konvertiert, die einer Schrittfunktion ähnlich ist, die für eine Bestimmung des Prozessendpunktes verwendet werden kann. Die Begrenzungen dieses Ansatzes liegen in dem Bedürfnis nach einem extern zur Verfügung gestellten Auslöser zusätzlich zu dem Bedürfnis nach einer Benutzereingabeverzögerung zwischen dem Auslöser und der Erfassung der Emissionsdaten während Ätzschritten.
  • Im Rahmen einer Bemühung, die OES-Verfahrensempfindlichkeit zu erhöhen, wird bei Jerde et al. ( U.S.-Patent 4,491,499 ) eine schmale Bandbreite des Emissionsspektrums offenbart, wobei gleichzeitig die Intensität einer größeren Hintergrundbandbreite gemessen wird, die um die schmale Bandbreite herum zentriert ist. Auf diese Weise kann das Hintergrundsignal von dem Endpunktsignal subtrahiert werden, was einen genaueren Wert des Signals mit schmaler Bandbreite zum Ergebnis hat.
  • Eine Anzahl von Gruppen hat einen Blick auf Frequenzkomponenten von Plasmaemissionsspektren geworfen. Bei Buck et al. ( U.S.-Patent 6,104,487 ) wird die Verwendung digitaler Signalverarbeitungstechniken wie zum Beispiel Fast-Fourier-Transformationen (FFT) verwendet, um Frequenzkomponenten von dem Plasmaemissionsspektrum zu extrahieren. Buck lehrt, dass diese Komponenten Informationen über Veränderungen bei dem Plasmazustand geben und zur Erkennung von Übergängen in dem Substratwerkstoff verwendet werden können, wo durch die Ätzendpunkterkennung ermöglicht wird. Buck lehrt die Überwachung von Infraschallfrequenzen bis hinunter auf 10 Hz. Buck zieht in Betracht, dass sich die überwachten Frequenzen für unterschiedliche Prozesse verändern werden; wobei er jedoch nur Dauerzustands-(Einzelschritt)-Prozesse berücksichtigt, wobei eine optische FFT-Emission in Verbindung mit Zeitteilungs-Multiplex-(TDM)Prozessen verwendet wird, die periodisch sind, wobei keine Wiederholung gelehrt wird.
  • In Kornblit et al. ( U.S.-Patent 6,021,215 ) wird ebenfalls die Verwendung von Fourier-Transformationen gemeinsam mit optischer Emissionsspektroskopie beschrieben. Kornblit lehrt die gleichzeitige Überwachung aller Frequenzkomponenten, lehrt jedoch nicht die Verwendung optischer FFT-Emission für einen TDM-Prozess.
  • Bei Davidow et al. ( U.S.-Patent 6,455,437 ) wird ebenfalls die Erzeugung von Frequenzkomponenten von Plasmaemissionen und die Überwachung der Amplitude des Signals über die Zeit beschrieben. Obwohl Davidow Mehrschrittprozesse zum Ätzen von Mehrschichtstapeln in Betracht zieht, wird die Verwendung von optischer Emission in Verbindung mit FFT nicht für TDM-Prozesse gelehrt. Weiterhin charakterisiert Davidow den Plasmaprozess dadurch, indem er notiert, welche Frequenzen während dem Verlauf des Prozesses auftreten, wobei die Größenordnung der Kennfrequenzen, die dem Prozess durch die zyklische Art des TDM-Prozesses auferlegt wird, nicht untersucht wird.
  • Bei O'Neill et al. ( U.S.-Patent 5,308,414 ) wird ein optisches Emissionssystem beschrieben, bei dem eine optische Signaldemodulation verwendet wird. Bei O'Neill wird ein enger Spektralbereich, der mit einem Ätzprodukt verbunden ist, zusammen mit einem breiteren Spektralband beobachtet, welches als eine Hintergrundkorrektur zu verwenden ist. Bei O'Neill wird auch eine Frequenzdemodulation der Signale durch die Verwendung eines Lock-in-Verstärkers offenbart. Der Lock-in-Verstärker erfordert ein externes Synchronisationssignal. O'Neill zieht keine Mehrschritt- oder TDM-Prozesse in Betracht.
  • Bei Sawin et al. ( U.S.-Patent 5,450,205 ) wird ein System beschrieben, bei dem optische Emissionsinterferometrie (OEI) zusammen mit FFT verwendet wird, um den Prozessendpunkt zu bestimmen. Im Gegensatz zu der optischen Emissionsspektrometrie (OES), welche die Plasmaemission analysiert, bildet die Emissionsinterferometrie von der Wafer-Oberfläche reflektierte Plasmaemissionen ab, um die Filmdicke auf der Wafer zu bestimmen. Anders als bei OES ist es bei OEI-Techniken erforderlich, dass der Abbildungsdetektor eine klare Sichtlinie zu der Scheibenoberfläche hat. Die OEI-Technik ist für TDM-Prozesse ungeeignet, die wiederholte Ablagerungs- und Ätzschritte auf Grund der zyklischen Zugabe und Abtragung eines Passivierungsfilmes umfassen, wenn die Ätzung fortschreitet.
  • Bei Kawahara et al. ( US 4 795 529 A ) wird ein System beschrieben, bei dem Plasmaemission lediglich während des Ätzschrittes überwacht wird.
  • In der EP 1 009 014 A (Orbis Technologies Ltd.) wird ein ähnliches System beschrieben.
  • Dementsprechend ist ein Bedürfnis nach einer Endpunktstrategie für TDM-Prozesse vorhanden, bei der kein externer Auslöser benötigt wird, um die Plasmaemissionsdatensammlung mit den Prozessschritten zu synchronisieren.
  • Zusammenfassung der Erfindung
  • Verfahren zur Bestimmung des Endpunktes in einem Plasmaätzprozess gemäß der vorliegenden Erfindung nach Anspruch 1.
  • Eine bevorzugte Ausführungsform der vorliegenden Erfindung betrifft ein Verfahren zur Bestimmung eines Endpunktes während eines anisotropen Plasmaätzprozesses eines Substrates, um darin seitlich festgelegte Aussparungsstrukturen durch eine Ätzmaske durch Verwendung eines Plasmas bereitzustellen. In Übereinstimmung mit dem Verfahren wird eine Oberfläche eines Substrates in einem Ätzschritt durch Kontakt mit einem reaktiven Ätzgas plasmageätzt, um Werkstoff von der Oberfläche des Substrates zu entfernen und freigelegte Oberflächen bereitzustellen. Die Oberfläche des Substrates wird in einem Ablagerungsschritt so passi viert, dass horizontale und vertikale Oberflächen, die in einem vorangegangenen Ätzschritt freigelegt wurden, durch eine Passivierungsschicht abgedeckt werden. Der Ätzschritt und der Passivierungsschritt werden wechselweise wiederholt. Die Plasmaemission wird bei einer spezifischen Wellenlänge oder Wellenlängenbereich(en) und bei einer Kennfrequenz überwacht, die durch die wechselweise Art des TDM-Prozesses auferlegt wird. Die spezifische(n) Wellenlängen) wird/werden vorzugsweise auf der Grundlage von während eines Prozesses verwendeten Emissionsspektren bestimmt. Bei einer alternativen Ausführungsform wird die Plasmaemission bei zwei oder mehr Wellenlängenbereichen überwacht, und das Verhältnis (oder ein anderer mathematischer Vorgang) der Größenordnung des ersten Wellenlängenbereiches und der zweite oder mehr Wellenlängenbereiche werden berechnet. Bei einer solchen Ausführungsform können ein oder mehr Wellenlängenbereiche ausgewählt werden, um das Hintergrundsignal zu repräsentieren. Die auferlegte Prozesskennfrequenz wird auf der Grundlage der Summe der Dauer des Ätzschrittes und der Dauer des Passivierungsschrittes bestimmt. Die Kennfrequenz des Prozesses beträgt weniger als 5 Hz für einen typischen TDM-Prozess. Der Prozess wird zu einem Zeitpunkt angehalten, der von dem Überwachungsschritt abhängig ist.
  • Kurzbeschreibung der Zeichnungen
  • In 1 ist ein Schema einer typischen OES-Konfiguration dargestellt;
  • In 2(a-e) werden Darstellungen eines TDM-Ätzprozesses gezeigt;
  • 3 ist eine Kurve der Ablagerung und der Ätzplasmaemissionsspektren für einen beispielhaften TDM-Prozess;
  • 4 ist eine Endpunktspur für einen beispielhaften TDM-Prozess;
  • In 5 ist eine Übersicht über eine Ausführungsform der vorliegenden Erfindung dargestellt, die eine verbesserte OES-Technik für TDM-Prozesse betrifft;
  • In 6 ist die Intensität gegen Wellenlänge in einem Diagramm für eine Test-Wafer dargestellt, die bei der Validierung einer Ausführungsform der vorliegenden Erfindung verwendet wird;
  • In 7 ist eine Kurve der Ätzspektren vor und nach dem Endpunkt eines TDM-Prozesses dargestellt;
  • In 8 ist eine Kurve eines normalisierten Differenzspektrums für das Ätzspektrum von 7 dargestellt;
  • In 9 ist eine vergrößerte Ansicht der Vor- und Nach-Endpunkt-Ätzemissionsspektren dargestellt;
  • In 10 ist ein Diagramm der Werte der Spektralintensität innerhalb der in 9 identifizierten Spektralbereiche gegen die Zeit dargestellt;
  • In 11 ist eine vergrößerte Ansicht von 10 über den Bereich von 300 bis 400 Sekunden der Gesamtätzzeit dargestellt;
  • 12 ist eine Kurve des Verhältnisses des 440 nm-Signals und des in 11 dargestellten 443 nm-Signals dargestellt;
  • 13 ist eine Kurve des in 12 dargestellten Verhältnissignals über den Verlauf der Ätzung;
  • 14 ist eine Kurve des Signals in 13 in dem Frequenzbereich;
  • 15 ist ein Diagramm der Amplituden des Frequenzbereichssignals von 14 vor und nach dem Endpunkt des Prozesses; und
  • 16 ist ein Diagramm der Frequenz der in 15 dargestellten 0,6 Hz-Komponente.
  • Detaillierte Beschreibung der Erfindung
  • Eine bevorzugte Ausführungsform der vorliegenden Erfindung betrifft eine Einrichtung zum Erkennen des Überganges zwischen unterschiedlichen Werkstoffen in einem Zeitmultiplex-(TDM)Plasmaätzprozess durch die Analyse mindestens einer Wellenlängenkomponente der gesammelten elektrischen Emissionen bei einer der Frequenz (ν) des TDM-Prozesses entsprechenden Frequenz.
  • Auf Grund der periodischen und wiederholenden Art eines TDM-Prozesses weist der Prozess konstruktionsbedingt eine Anzahl von damit in Verbindung stehenden Kennfrequenzen auf. Als Beispiel ist von einem Zweischritt TDM-Siliziumätzprozess auszugehen, der aus einem 4 (vier)-Sekunden-Ätzschritt und einem zweiten 6 (sechs)-Sekunden-Ablagerungsschritt besteht, die im Wesentlichen eine Anzahl von Malen wiederholt werden (siehe Tabelle I unten) (1 mtorr = 133Pa).
    Ablagerung Ätzung
    SF6-Strom sccm 0,5 100
    C4F8-Strom sccm 70 0,5
    Ar-Strom sccm 40 40
    Druck mtorr 22 23
    RF-Vorspannungskraft w 1 12
    ICP-Kraft w 1000 1000
    Schrittzeit Sekunde 4 6
  • Es ist zu bemerken, dass sich die Ablagerungs- und Ätzschritte in der Chemie, RF-Vorspannungskraft und Druck unterscheiden, was Emissionsspektren mit bedeutendem Unterschied zum Ergebnis hat. Auf Grund der wiederholenden Art des TDM-Prozesses und der Dauer der Ablagerungs- und Ätzschritte weist der Beispielprozess in Tabelle I eine Zykluswiederholungszeit von 10 Sekunden auf, und damit eine erwartete Kennfrequenz von 0,1 Hz. Die Abtastrate der Spektren muss schnell genug sein, um die periodische Art der Plasmaemission ohne Stufenbildung zu erfassen. Folglich muss die Abtastfrequenz höher als die Nyquist-Frequenz sein, und vorzugsweise das 10fache der erwarteten charakteristischen Frequenz betragen.
  • Das Schema in 5 stellt eine Übersicht über eine Ausführungsform der vorliegenden Erfindung dar, die eine verbesserte OES-Technik für TDM-Prozesse betrifft. Ein TDM-Prozess wird mit mindestens einer Kennfrequenz hergestellt, die von der Dauer der Schritte des Prozesses in Schritt 500 abhängig ist. Die Kennfrequenz von TDM-Prozessen beträgt typischerweise weniger als 5 Hz. Mindestens ein Wellenlängenbereich des Plasmaemissionsspektrums (typischerweise innerhalb 200–1100 nm für Plasmaemission) des TDM-Prozesses ist für die Prozessendpunkterkennung identifiziert. Der/die Spektralbereich(e) wird/werden während des Verlaufes des TDM-Ätzprozesses in Schritt 502 überwacht. Da das Emissionssignal für einen TDM-Prozess periodisch und wiederholend ist, kann das Emissionssignal in Frequenzkomponenten aufgelöst werden. Mindestens eine Frequenzkomponente liegt bei der Prozesskennfrequenz, und wird in Schritt 504 extrahiert. Ein Verfahren zur Extraktion der Frequenzkomponenten erfolgt durch die Verwendung von Fast-Fourier-Transformationen (FFT), obwohl andere Digitalsignalverarbeitungs-(DSP)-Techniken ebenfalls verwendet werden können. Wenn ein Werkstoffübergang in Schritt 506 erkannt wird, geht das Verfahren zu Schritt 508 weiter, wobei das Verfahren zu dem nächsten Prozessschritt oder TDM-Folge weitergeht. Wenn in Schritt 506 kein Werkstoffübergang entdeckt wird, kehrt das Verfahren zu Schritt 502 zurück, wobei die Plasmaintensität mindestens eines Spektralbereiches überwacht wird, bis ein Werkstoffübergang erkannt wird. Im Gegensatz zu vorherigen Lehren mit Verwendung von OES mit FFT wird durch die Überwachung der Größenordnung des Emissionssignals bei einer TDM-Prozesskennfrequenz (typischerweise, jedoch nicht immer weniger als 5 Hz) über die Zeit ein zuverlässiges Verfahren zur Erkennung von Übergängen zwischen Schichten während eines TDM-Ätzprozesses bereitgestellt.
  • Bei einer alternativen Ausführungsform der Erfindung werden zwei oder mehr Wellenlängenkomponenten verwendet, die von den Emissionsdaten abgeleitet sind, um die Empfindlichkeit der Endpunkterkennung zu verbessern. Mindestens eine der Wellenlängenkomponenten ist eine Kennwellenlänge des TDM-Prozesses. Andere Wellenlängenkomponenten können ein Hintergrundsignal darstellen. Die mathematische Kombination von zwei oder mehr Komponenten (wie zum Beispiel Addition, Subtraktion oder Teilung) bietet bei bestimmten Anwendungen eine größere Empfindlichkeit.
  • Wir weisen darauf hin, dass der Ansatz der vorliegenden Erfindung nicht auf einen zyklischen Zweischrittprozess begrenzt ist. In der Praxis ist es üblich, den Ätzabschnitt des Prozesses weiter in eine Anzahl von Unterschritten zu teilen. Die weitere Aufteilung des TDM-Prozesses in Unterschritte hat lediglich zusätzliche, vom Prozess auferlegte Kennfrequenzen zum Ergebnis.
  • Es ist auch wichtig zu bemerken, dass die Prozessparameter innerhalb einer jeden wiederholenden Prozessschleife eines Ätzschrittes und eines Ablagerungsschrittes nicht von einem Zyklus zum anderen konstant bleiben müssen. So ist es zum Beispiel während der TDM-Ätzung von Silizium üblich, den Wirkungsgrad des Ablagerungs- oder Ätzschrittes über den Verlauf des Prozesses allmählich zu verändern, um die Profilkontrolle aufrechtzuerhalten (in dem Bereich als Prozess-Morphing bekannt). Bei einem Morphing-Prozess werden kleine Parameterveränderungen bei den Prozessparametern zwischen einer Anzahl von Ätz- oder Ablagerungsschritten vorgenommen. Diese umfassen Gasdurchsatzrate, RF-Vorspannungskraft, Prozessdruck, Induktivquellenkraft (ICP) usw., sind jedoch nicht darauf begrenzt. Diese Veränderungen können auch die Veränderung der Zeitdauer der Prozessschritte innerhalb eines TDM-Zyklus umfassen. Wenn die Veränderungen der Zykluszeit während des Verlaufes des Prozesses größer als die Frequenzauflösung des Transforms sind, dann wird der Algorithmus so abgeändert, dass er die Summe angrenzender Frequenzkomponenten überwacht, um die auferlegte Prozessfrequenzverlagerung zu erfassen.
  • Obwohl eine bevorzugte Ausführungsform der vorliegenden Erfindung in Bezug auf eine tiefe Si-Ätzung unter Verwendung eines auf SF6/C4F8 basierenden Prozesses demonstriert wird, sind die bevorzugten Ausführungsformen der vorliegenden Erfindung unabhängig von der Chemie unter der Voraussetzung gültig, dass ein Zeitteilungsmultiplexprozess verwendet wird. Die bevorzugten Verfahren sind auch insbesondere zur Erkennung von Werkstoffübergängen in anderen Werkstoffen verwendbar, wie zum Beispiel dielektrische Werkstoffe und Metalle, wobei ein wiederholender Zeitteilungsmultiplexprozess verwendet wird.
  • Um die Nützlichkeit einer Ausführungsform der vorliegenden Erfindung zu demonstrieren, wurde ein TDM-Rezept verwendet, um einen Silizium-Wafer auf einem Isolator (SOI) zu ätzen. Das Rezept ist in Tabelle 2 unten aufgeführt. Das unten aufgeführte Beispiel gilt für eine Ausführungsform der Erfindung bei einem 3-Schritt-TDM-Si-Ätzprozess.
    Ablagerung Ätzung A Ätzung B
    SF6-Durchsatz sccm 1 50 100
    C4F8-Durchsatz sccm 70 1 1
    Ar-Durchsatz sccm 40 40 40
    Druck mtorr 22 23 23
    RF-Vorspannungskraft w 1 12 12
    ICP-Kraft w 1500 1500 1500
    Schrittzeit Sekunde 6 3 7
  • Die Versuche wurden mit einem im Handel erhältlichen „Unaxis Shuttlelock series Deep Silicon Etch (DSE)"-Werkzeug ausgeführt. Die Emissionsspektren wurden bei einer Frequenz von 1 Hz unter Verwendung eines im Handel erhältlichen „Unaxis Spectraworks"-Emissionsspektrometers gesammelt.
  • Zur Bestimmung des/der interessierenden Wellenlängenbereich(e)s wurde eine Testwafer geätzt und Plasmaemissionsspektren sowohl in dem Ablagerungsschritt als auch in dem Ätzschritt analysiert, bevor und nachdem die Siliziumschicht freigelegt bzw. entfernt wurde (Prozessendpunkt). In 6 ist sind die Intensitäts-gegen-Wellenlänge-Diagramme für die Plasmaemissionsspektren der Testwafer dargestellt. Die Ablagerungsspektren vor dem Endpunkt des Prozesses 600, Ablagerung nach dem Endpunkt des Prozesses 602, Ätzspektren vor dem Endpunkt des Prozesses 604 und Ätzspektren nach dem Endpunkt des Prozesses 608 sind in 6 dargestellt. Die Detektorsättigung 608 wird durch die Linie an der Spitze der Kurve repräsentiert. Da während der Ablagerungsphasen des Prozesses wenig Ätzung erwartet wird, konzentriert sich 7 auf die Emissionsspektren von dem Ätzschritt vor 700 und nach 702, als das Silizium entfernt wurde. Es ist zu bemerken, dass sich der leichte Unterschied 704 bei Ätzspektren an 450 nm annähert. Um Endpunktkandidaten zu bestimmen, wurde ein Punkt-für-Punkt-Differenzspektrum geschaffen.
  • Das sich ergebende Spektrum 800 ist in 8 dargestellt. Kandidaten für die Endpunkterkennung treten bei 440 nm und 686 nm auf. Die 440 nm-Spitze kann einer Siliziumfluoridemission (SiF) (Ätzprodukt – verringert sich, wenn das Si entfernt wird), während die 686 nm-Spitze der Fluor (F)-Emission zugeordnet werden kann (Reaktant – erhöht sich, wenn das Si freigelegt wird).
  • Auf der Grundlage der 440-Emissionsspitze wurde eine Endpunktstrategie entwickelt. In 9 ist eine vergrößerte Ansicht der Vor- 900 und Nach-Endpunkt 902-Ätzemissionsspektren dargestellt, um die 440 nm-Spitze genauer zu untersuchen. Um korreliertes Rauschen zu verringern, wurden zwei Spektralbereiche 904 und 906 überwacht – eine schmale 440 nm-Spitze (SiF-Emission) und ein breiterer Spektralbereich, der zwecks Hintergrundkorrektur um 445 nm herum zentriert war.
  • In 10 werden die Werte innerhalb der zwei Bereiche gegen die Zeit dargestellt. Obwohl es eine leichte Abnahme bei ihren Spitze-zu-Spitze-Werten des Schwingungssignals gibt, ist es schwierig, einen Prozessendpunkt zu bestimmen. In 11 ist eine vergrößerte Ansicht von 10 über den Bereich von 300 bis 400 Sekunden der Gesamtätzzeit dargestellt. Es ist zu bemerken, dass die Signal-(440 nm) 1100 und Hintergrund-(445 nm) 1102-Bereiche einander während des Ablagerungsschrittes 1104 mit höherer Intensität gut folgen, jedoch in der Nähe des Endes des Ätzschrittes 1106 auseinanderlaufen. Die Konstruktion des Verhältnisses des 440 nm-Signals und des 445 nm-Hintergrundes hat 12 zum Ergebnis, wo die großen Emissionsschwankungen zwischen den Ätz- und Ablagerungsschritten beseitigt wurden, wobei das sich ergebende Signal repräsentativer für den Grad der Ätzung ist, der stattfindet. Es ist zu bemerken, dass die periodische und wiederholende Art des Verhältnissignals 1200 in 12 dargestellt wird.
  • In 13 ist das Verhältnissignal (440 nm SiF/445 nm Hintergrund) 1300 über den Verlauf der Ätzung dargestellt. Wir weisen auf die Abnahme der aufeinanderfolgenden Spitzenhöhen in der Nähe von 600 Sekunden hin, wie in 13 dargestellt. Die Kennfrequenz der in 13 dargestellten Spur beträgt 0,06 Hz. Dies ist die vom Rezept auferlegte Frequenz, die 16 Sekunden entspricht, welches die Summe der Zeiten der einzelnen Prozessschritte des zyklischen Prozesses in Tabelle 2 ist.
  • Die Konvertierung des Signals in 13 von der Zeitdomäne in die Frequenzdomäne unter Verwendung einer Fast-Fourier-Transformations-(FFT)Berechnung hat das in 14 dargestellte Leistungsspektrum zum Ergebnis. Die FFT-Berechnung wurde auf einer 128-Elementanordnung aufgebaut, die angehängt wurde, um ein sich entwickelndes Fenster des OES-Signals auszubilden, wenn der Prozess fortschritt. Die mittels der gepunkteten Box hervorgehobenen Frequenzen sind diejenigen, die auf Grund der periodischen und wiederholenden Art des TDM-Prozessrezeptes erwartet werden. In 15 sind die Amplituden der FFT-Leistungsspektren an zwei Punkten in dem Prozess dargestellt. Wir weisen darauf hin, dass die 0,06 Hz-Komponente vor dem Endpunkt eine große Amplitude aufweist und fast auf Null abnimmt, nachdem die Si-Schicht entfernt wurde. Eine Darstellung der Größenordnung der 0,06 Hz-Komponente hat die in 16 dargestellte Prozessendpunktspur zum Ergebnis. Die Bestimmung der tatsächlichen Endpunktzeit an Hand dieser Kurve kann durch eine Vielfalt von Mitteln erfolgen, einschließlich der einfachen Schwellenwerterkennung oder Differenzerkennung, jedoch nicht darauf beschränkt. Solche Techniken sind Fachleuten auf diesem Gebiet gut bekannt.
  • Die oben abgehandelten Ausführungsformen ermöglichen eine genaue Bestimmung des Endpunktes eines TDM-Prozesses mittels Überwachung der Emissionsspektren der Ablagerungs- und Ätzschritte bei einer Kennfrequenz des Prozesses. Die Fähigkeit, einen Endpunkt eines solchen Prozesses genau zu bestimmen, minimiert oder beseitigt die Möglichkeit des Überätzens des gewünschten Merkmals und bewirkt somit die Verringerung der Dicke der darunterliegenden Sperrschicht. Zusätzlich wird auch die auf diesem Gebiet als „Kerbung" bekannte Merkmalsprofilverschlechterung minimiert. Weiterhin ist die Erfindung gut zur Verwendung in beliebigen Plasmaprozessen mit schnellen und periodischen Plasmaperturbationen geeignet. Daher ist die vorliegende Erfindung eine wesentliche Verbesserung im Vergleich zum Stand der Technik.
  • Die vorliegende Offenbarung umfasst die Teile, die in den beigefügten Ansprüchen enthalten sind, sowie diejenigen der vorangegangenen Beschreibung. Obwohl diese Erfindung in ihrer bevorzugten Form mit einem bestimmten Grad der Besonderheit beschrieben wurde, ist zu verstehen, dass die vorliegende Offenbarung der bevorzugten Form ausschließlich beispielhaft erfolgte, und dass auf zahlreiche Änderungen bei den Konstruktionsdetails und der Kombination und Anordnung von Teilen zurückgegriffen werden kann, ohne von dem Umfang der Erfindung abzuweichen.

Claims (11)

  1. Verfahren zur Bestimmung des Endpunktes in einem Plasmaätzprozess mit den folgenden Schritten: Einbringen eines Substrats in eine Vakuumkammer; Abätzen eines Materials von dem Substrat mittels eines Plasmas; Abscheiden einer Passivierungsschicht auf dem Substrat mittels eines Plasmas; Durchlaufen einer Prozessschleife, in der der Ätzschritt und der Abscheidungsschritt mit einer bestimmten Wiederholungsrate wiederholt werden; Erfassen einer Plasmaemissionsintensität in mindestens einem vorbestimmten Wellenlängenbereich während sowohl des Ätzschritts als auch des Abscheideschritts zur Erzeugung eines Emissionssignals; Zerlegen des Emissionssignals in Frequenzkomponenten und Betrachten einer Variation einer Plasmaemissionsintensität bei einer charakteristischen Frequenz, die der Wiederholungsrate des Ätzschrit tes und des Abscheideschrittes in der Prozessschleife entspricht; Abbrechen der Prozessschleife anhand des Überwachungsschritts; und Entfernen des Substrats aus der Vakuumkammer.
  2. Verfahren nach Anspruch 1, wobei die charakteristische Frequenz anhand der Summe der Dauer des Ätzschrittes und des Abscheideschrittes während der Prozessschleife festgelegt wird.
  3. Verfahren nach Anspruch 1, wobei die charakteristische Frequenz kleiner als 5 Hz ist.
  4. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Prozessschleife mehrere Ätzschritte umfasst.
  5. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Prozessschleife mehrere Abscheideschritte umfasst.
  6. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass der Überwachungsschritt eine Überwachung der Plasmaemissionsintensität bei einer Mehrzahl von Wellenlängenbereichen umfasst.
  7. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass der Überwachungsschritt, in dem mehrere Wellenlängenbereiche überwacht werden, die Durchführung mathematischer Operationen zur Extraktion einer Mehrzahl von Frequenzkomponenten umfasst, wodurch mindestens eine charakteristische Frequenz erhalten wird.
  8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass die mathematische Operation eine Fast-Fourier-Transformation ist.
  9. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass der Überwachungsschritt, in dem eine Mehrzahl von Wellenlängenbereichen überwacht wird, die Durchführung einer mathematischen Operation zur Korrektur der Hintergrund-Plasmaemission umfasst.
  10. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass die Wellenlängenbereiche mittels einer mathematischen Analyse des Plasmaemissionsspektrums ausgewählt werden.
  11. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass die mathematische Analyse eine Principal Component-Analyse ist.
DE602004010786T 2003-02-14 2004-02-04 Endpunktbestimmung in zeitmultiplex-ätzprozessen Expired - Lifetime DE602004010786T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US770839 1991-10-04
US44759403P 2003-02-14 2003-02-14
US447594P 2003-02-14
US10/770,839 US6982175B2 (en) 2003-02-14 2004-02-02 End point detection in time division multiplexed etch processes
PCT/US2004/003235 WO2004075255A2 (en) 2003-02-14 2004-02-04 End point detection in time division multiplexed etch processes

Publications (2)

Publication Number Publication Date
DE602004010786D1 DE602004010786D1 (de) 2008-01-31
DE602004010786T2 true DE602004010786T2 (de) 2008-12-04

Family

ID=32912269

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602004010786T Expired - Lifetime DE602004010786T2 (de) 2003-02-14 2004-02-04 Endpunktbestimmung in zeitmultiplex-ätzprozessen

Country Status (7)

Country Link
US (1) US6982175B2 (de)
EP (1) EP1593141B1 (de)
JP (1) JP4724795B2 (de)
CN (1) CN1739185B (de)
AT (1) ATE381773T1 (de)
DE (1) DE602004010786T2 (de)
WO (1) WO2004075255A2 (de)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4101280B2 (ja) * 2006-07-28 2008-06-18 住友精密工業株式会社 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8691702B2 (en) 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103151300A (zh) * 2013-02-20 2013-06-12 上海华力微电子有限公司 一种去除硬质掩膜结构中缺陷的方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
WO2014137905A2 (en) 2013-03-06 2014-09-12 Plasma-Therm, Llc Method and apparatus for plasma dicing a semi-conductor wafer
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP6560909B2 (ja) * 2015-01-19 2019-08-14 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6316224B2 (ja) * 2015-02-17 2018-04-25 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10015412B2 (en) * 2016-09-06 2018-07-03 The Trustees For The Time Being Of Junior Barnes Family Trust Video capturing system and method for imaging cyclically moving objects
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
CN110583101B (zh) * 2017-05-25 2023-09-01 欧瑞康美科(美国)公司 使用实时电压监控的等离子体喷枪诊断
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4491499A (en) 1984-03-29 1985-01-01 At&T Technologies, Inc. Optical emission end point detector
JPS62194426A (ja) * 1986-02-21 1987-08-26 Hitachi Ltd 分光器
JPS6323324A (ja) * 1986-07-16 1988-01-30 Sharp Corp ドライエツチング装置
JPH088237B2 (ja) * 1986-10-17 1996-01-29 株式会社日立製作所 プラズマ処理方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US5208644A (en) * 1990-05-18 1993-05-04 Xinix, Inc. Interference removal
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
JP3766991B2 (ja) * 1995-10-20 2006-04-19 株式会社日立製作所 プラズマ処理の終点検出方法及び装置、並びに本検出方法及び装置を用いた半導体製造方法及び装置
US5658423A (en) 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US6104487A (en) 1996-12-20 2000-08-15 Texas Instruments Incorporated Plasma etching with fast endpoint detector
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6021215A (en) 1997-10-10 2000-02-01 Lucent Technologies, Inc. Dynamic data visualization
JP2000012527A (ja) * 1998-06-25 2000-01-14 Sumitomo Metal Ind Ltd エッチング終点判定方法及びエッチング終点判定装置
GB9827065D0 (en) * 1998-12-10 1999-02-03 Orbis Technologies Ltd A plasma etching control device
US6455437B1 (en) 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
JP2001044171A (ja) * 1999-07-28 2001-02-16 Matsushita Electric Ind Co Ltd エッチング終点検出方法および装置
US6675137B1 (en) * 1999-09-08 2004-01-06 Advanced Micro Devices, Inc. Method of data compression using principal components analysis
JP2001093885A (ja) * 1999-09-22 2001-04-06 Olympus Optical Co Ltd エッチング監視装置
US6745095B1 (en) * 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
CN1153056C (zh) * 2001-04-10 2004-06-09 华邦电子股份有限公司 以光学方法测量温度并监控蚀刻率的方法

Also Published As

Publication number Publication date
DE602004010786D1 (de) 2008-01-31
EP1593141B1 (de) 2007-12-19
US20040175913A1 (en) 2004-09-09
CN1739185B (zh) 2010-11-03
US6982175B2 (en) 2006-01-03
WO2004075255A2 (en) 2004-09-02
EP1593141A2 (de) 2005-11-09
ATE381773T1 (de) 2008-01-15
JP2006518913A (ja) 2006-08-17
WO2004075255A3 (en) 2004-11-04
JP4724795B2 (ja) 2011-07-13
CN1739185A (zh) 2006-02-22

Similar Documents

Publication Publication Date Title
DE602004010786T2 (de) Endpunktbestimmung in zeitmultiplex-ätzprozessen
DE60126055T2 (de) Massenspektrometer und massenspektrometrisches Verfahren
EP1623457B1 (de) Endpunkt-Erkennung in einem zeitlich gemultiplexten Verfahren unter Verwendung eines Hüllkurvenalgorithmus
DE102011006331B4 (de) Herstellungsverfahren für eine Halbleitervorrichtung
US20060006139A1 (en) Selection of wavelengths for end point in a time division multiplexed process
DE102015007027A1 (de) Verbessertes bildgebendes Massenspektrometrieverfahren und Vorrichtung
DE69825789T2 (de) Vorrichtung und verfahren zur stoss-induzierten dissoziation von ionen in einem quadrupol-ionenleiter
DE10230729B4 (de) Verfahren zum Erfassen des Endpunktes eines Ätzverfahrens in einem Halbleiterherstellungsgerät und Detektor dafür
DE102004043233B4 (de) Verfahren zum Herstellen eines beweglichen Abschnitts einer Halbleitervorrichtung
DE102008037943A1 (de) Verfahren zum elektronenstrahlinduzierten Ätzen
DE10392635T5 (de) Verbessertes Massenspektrometer und Massenfilter für das Massenspektrometer
DE102008029498B4 (de) Verfahren und System zur quantitativen produktionslinieninternen Materialcharakterisierung in Halbleiterherstellung auf der Grundlage von Strukturmessungen und zugehörigen Modellen
DE112004000982B4 (de) Raumladungseinstellung einer Aktivierungsfrequenz
DE102009028256B4 (de) Verfahren zum Ätzen von Siliziumcarbid mittels eines Plasmaätzverfahrens und Siliziumcarbidsubstrat
DE102017127189B4 (de) Bestimmung von isobaren Interferenzen in einem Massenspektrometer
DE10016938C2 (de) Selektives Trockenätzen eines dielektrischen Films
EP0708976B1 (de) Verfahren zum betreiben eines flugzeit-sekundärionen-massenspektrometers
DE102013223490B4 (de) Verfahren zur Herstellung einer strukturierten Oberfläche
DE10119058A1 (de) Verfahren und Vorrichtung zum Detektieren von Instabilitäten eines hochfrequent angeregten Plasmas, insbesondere von Arcing Ereignissen
DE102016114935B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE10358036B4 (de) Verfahren zum Charakterisieren einer Tiefenstruktur in einem Substrat
DE19743349A1 (de) Verfahren zur Herstellung von Halbleiterchips
Westerman et al. Endpoint detection method for time division multiplex etch processes
WO2003102502A1 (de) Verfahren und vorrichtung zum ermitteln einer schichtdicke einer auf einem träger aufgebrachten schicht, sowie überwachungssystem
DE102018107293A1 (de) Verfahren zur bearbeitung einer halbleiterschichtenfolge und optoelektronischer halbleiterchip

Legal Events

Date Code Title Description
8364 No opposition during term of opposition