JP4724795B2 - 時分割多重化エッチプロセスにおける終点検出方法 - Google Patents

時分割多重化エッチプロセスにおける終点検出方法 Download PDF

Info

Publication number
JP4724795B2
JP4724795B2 JP2006503327A JP2006503327A JP4724795B2 JP 4724795 B2 JP4724795 B2 JP 4724795B2 JP 2006503327 A JP2006503327 A JP 2006503327A JP 2006503327 A JP2006503327 A JP 2006503327A JP 4724795 B2 JP4724795 B2 JP 4724795B2
Authority
JP
Japan
Prior art keywords
etch
plasma
etching
frequency
monitoring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006503327A
Other languages
English (en)
Other versions
JP2006518913A (ja
Inventor
ウェスターマン,ラッセル
ジョンソン,デイヴィッド
Original Assignee
ユナクシス・ユーエスエイ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ユナクシス・ユーエスエイ・インコーポレーテッド filed Critical ユナクシス・ユーエスエイ・インコーポレーテッド
Publication of JP2006518913A publication Critical patent/JP2006518913A/ja
Application granted granted Critical
Publication of JP4724795B2 publication Critical patent/JP4724795B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Measurement And Recording Of Electrical Phenomena And Electrical Characteristics Of The Living Body (AREA)
  • Television Systems (AREA)
  • Time-Division Multiplex Systems (AREA)

Description

関連出願に対するクロスリファレンス
本出願は、2003年2月14日に出願され共通に所有されるEnd Point Detection in Time Division Multiplexed Etch Processesと称する米国仮特許出願第60/447,594号の優先権を請求し、またこれに関する。この仮特許出願を本明細書において参考のために引用する。
本発明は一般に、半導体製造の分野に関する。より詳細には、本発明は、時分割多重化エッチング及び堆積プロセスの終点を検出する改良された方法に関する。
多くのMEM装置の製造の最中には、材料の層を完了までエッチングして下の層表面で止めることが必要である(例えば、シリコンオンインシュレータ(SOI)−シリコン(Si)層を除去し、下にある二酸化ケイ素層表面で止める)。エッチプロセスが第1の層が除去された時点を越えて進行することを許すと、下にあるストップ層の低減された厚さまたは形状特徴プロフィルの劣化(SOI用途の場合“ノッチング”として従来技術において周知である)を生じ得る。
プラズマプロセスの終了時間を検出するために一般に使用される1方法は、発光分析(OES)である。OESは、プラズマ源から放出される光を分析して、プラズマプロセスの化学的及び物理的状態に関する推論を導き出す。半導体処理においては、この技術を一般に使用して、プラズマエッチプロセスの最中に材料界面を検出する。SelwynのモノグラフであるOptical Diagnostic Techniques for Plasma Processingは、プラズマ発光分析の原理及び応用に関する優れた概説を提供する。
OES技術は、プラズマによって放出された放射線(通常スペクトルのUV/VIS部分(200nm〜1100nm)である)を監視することを含む。図1は、典型的なOES構成の概略を示す。プラズマの組成及び特に反応性エッチ種またはエッチ副産物の存在は、放出された放射線のスペクトル(すなわち、強度対波長)によって決定される。エッチプロセス及び特に材料遷移の間に、プラズマの組成は変化し、発光スペクトルの変化を生じる。プラズマ発光を連続的に監視することによって、OES終点システムが発光スペクトルの変化を検出し、これを使用していつ膜が完全に除去されたかを決定することが可能である。実際に、終点に関する情報の大部分は通常、消費された反応物またはエッチの最中に発生するエッチ副産物に対応する幾つかの波長内部に含まれている。
OES終点方法を開発するための一般的な方法は、終点前及び終点後の状態の両方の最中に、プラズマ発光(発光強度対波長)の多数のスペクトルを集めることである。こうしたスペクトルを使用して、プロセスのための候補波長領域を特定できる。候補領域は、考察の対象となっている2つの材料の間の遷移にプロセスが達した時の有意な強度変化を示す波長を含む。終点波長候補領域は、多数の方法を使用して決定できる。終点検出のためのスペクトル領域を、統計学的方法の例えば因子分析または主成分分析によって選択できる(Angell et alの米国特許第5,658,423号を参照されたい)。終点候補を決定するための別の方法は、終点前(メインエッチ)と終点後(オーバーエッチ)のスペクトルの差のプロットの構成による。一旦候補領域を選択したら、候補領域のために有望な化学種の当てはめを行うことができる(すなわち、解離されたガス前駆体またはエッチ生成物から生じた反応物種)。プロセスの化学に関する知識と共にTables of Spectral Lines by Zaidel et al. and The Identification of Molecular Spectra by Pearse et al.を含む多数の参考文献を使用して、候補系統のために有望な種の素性を当てはめることができる。六フッ化硫黄(SF)プラズマ中のシリコン(Si)エッチプロセスのための有望な終点候補の例は、687nm及び703nmでのフッ素系列(F)並びに440nmでのフッ化ケイ素(SiF)発光帯域であると思われる。一旦こうした領域が決定されたら、後続の部分を同じOES方法を使用して処理することができる。
こうしたOESアプローチは、単一工程のプロセスまたは限定された数の別個のエッチ工程を有するプロセス(例えばエッチ開始、続いてメインエッチ)の場合には申し分なく機能するが、迅速かつ周期的なプラズマ変動を有するプラズマプロセスにOESを適用することは困難である。このようなプロセスの例は、Okudaira et al.によって米国特許第4,985,114号において及びLaermer et al.によって米国特許第5,501,893号において開示されている時分割多重化プロセスである。この著者らは、交互に起きる一連のエッチ及び堆積工程を使用して、高アスペクト比形状特徴をSi中にエッチングするためのTDMプロセスを開示している。
図2(a〜e)は、TDMエッチプロセスの略図を示す。TDMエッチプロセスは典型的に、高周波(RF)バイアス型基板電極と共に高密度プラズマ源、典型的に誘導結合プラズマ(ICP)を用いて構成される反応器中で実行される。SiのためのTDMエッチプロセスにおいて使用される最も一般的なプロセスガスは、六フッ化硫黄(SF)及びオクトフルオロシクロブタン(C)である。SFは典型的にエッチガスとして使用され、Cは堆積ガスとして使用される。エッチ工程の最中、SFは、シリコンの自発的な等方性エッチングを促進し(図2(b));堆積工程においては、Cは、エッチされた構造のサイドウォール並びに底部の上の保護ポリマー堆積を促進する(図2(c))。TDMプロセスは、エッチ工程と堆積プロセス工程との間で周期的に交互に起き、高アスペクト比構造がマスクされたシリコン基板中に規定されることを可能にする。エッチ工程中に存在するエネルギーをもった方向性のイオン衝撃によって、先の堆積工程から得られたエッチされた構造の底部中にコーティングされたポリマーフィルムを除去して、さらなるエッチングのためにシリコンの表面を露出しよう(図2(d))。直接イオン衝撃を受けないので、サイドウォール表面にポリマーフィルムが残り、横方向エッチングを妨げよう。TDMアプローチを使用して、高アスペクト比形状特徴が高いSiエッチレートでシリコン基板中に規定されることを可能にする。図2(e)は、TDMプロセスを使用してエッチしたシリコン構造の断面の走査型電子顕微鏡(SEM)画像を示す。
エッチ及び堆積工程のプラズマ発光スペクトルは、堆積及びエッチ工程において存在する様々なプラズマ条件(プロセスガスタイプ、圧力、RF出力等−図3を参照されたい)が理由となって劇的に異なる。従来のOES方法をTDMシリコンエッチプロセスに適用することは、周期的な終点トレースを生じる(図4)。エッチ終点情報の大部分は、プロセスのエッチ部分内部に含まれることが予想される。
Becker et al.(米国特許第6,200,822号)は、終点情報をTDMプロセスのプラズマ発光から抽出する方法を示している。Beckerは、外部供給トリガーの使用によってエッチ工程の最中プラズマのみの中の少なくとも1つの種(Siエッチの場合、典型的にフッ素またはSiF)の発光強度を調べている(典型的に1つのプロセス工程から次のプロセス工程への遷移)。サンプルアンドホールド回路と共にトリガーを使用することによって、後続のエッチ工程において観察される発光強度を合わせて、性質が周期的ではない発光信号を得ることができる。エッチ工程における種の場合の発光強度の値は、その後の堆積工程の間中、最後の既知の値で保持される。このようにして、周期的な発光信号は、プロセス終点決定のために使用できる階段関数と同様の曲線へと転換される。このアプローチの制限は、トリガーとエッチ工程の最中の発光データの収集との間に使用者入力遅延が必要であることに加えて、外部供給トリガーが必要であることである。
OES方法の感度を増大させる努力において、Jerde et al.(米国特許第4,491,499号)は、発光スペクトルの狭い帯域を測定し、一方、ほぼ狭い帯域に中心があるより広いバックグラウンド帯域の強度を同時に測定することを開示している。このようにして、バックグラウンド信号を終点信号から差し引き、狭い帯域の信号のより正確な値を得ることができる。
多くのグループが、プラズマ発光スペクトルの周波数成分を調べた。Buck et al.(米国特許第6,104,487号)は、デジタル信号処理技術の例えば高速フーリエ変換(FFT)を使用して、周波数成分をプラズマ発光スペクトルから抽出することを説明している。Buckは、こうした成分は、プラズマ状態の変化に関する情報を与え、基板材料における遷移を検出するために使用することができ、エッチ終点検出を可能にすることを教示している。Buckは、最低10Hzまでの超低周波数を監視することを教示している。Buckは、監視される周波数は、プロセスが異なれば変化するだろうと考察している;しかしながら、彼は、定常状態(単一工程)プロセスのみを検討しており、周期的かつ反復する時分割多重化(TDM)プロセスと共にFFT発光を使用することは教示していない。
Kornblit et al.(米国特許第6,021,215号)も、発光分光法と共にフーリエ変換を使用することを説明している。Kornblitは、全ての周波数成分を同時に監視することを教示しているが、TDMプロセスのための発光FFTの使用は教示していない。
Davidow et al.(米国特許第6,455,437号)も、プラズマ発光から周波数成分を発生させ、この信号の振幅を時間と共に監視することを説明している。Davidowは、多層スタックをエッチングするための多工程プロセスを予想しているが、TDMプロセスのためにFFTと共に発光を使用することは教示していない。その上、Davidowは、どの周波数がプロセスの最中に現れるかに言及することによってプラズマプロセスを特徴付けている−TDMプロセスの周期的な性質によってプロセスに課される特性周波数の大きさを調べていない。
O'Neill et al.(米国特許第5,308,414号)は、信号復調を使用した発光システムを説明している。O'Neillは、バックグラウンド補正として使用されるより広いスペクトル帯域と共にエッチ生成物に関連する狭いスペクトル領域の両方を監視している。O'Neillはまた、ロックイン増幅器の使用による信号の周波数復調を開示している。ロックイン増幅器は、外部同期信号を必要とする。O'Neillは、多工程またはTDMプロセスを検討していない。
Sawin et al.(米国特許第5,450,205号)は、FFTと共に発光干渉分析法(OEI)を使用してプロセス終点を決定するシステムを説明している。プラズマ発光を分析する発光分析(OES)とは異なり、発光干渉分析法は、ウェーハ表面から反射したプラズマ発光を画像化してウェーハ表面の膜厚を決定する。OESとは異なり、OEI技術は、ウェーハ表面への見通しのきく視線を有する画像化検出器を必要とする。OEI技術は、エッチが進行するにつれてのパッシベーション膜の周期的な付加及び除去が理由となって、反復堆積及びエッチ工程を含むTDMプロセスに適さない。
従って、プラズマ発光データ収集をプロセス工程と同期させる外部トリガーを必要としないTDMプラズマプロセスのための終点方法に対する必要が存在する。
本発明の好適な具体例は、プラズマを用いることによってエッチングマスクを通して内部に横方向に規定された凹部構造を提供するために、基板の異方性プラズマエッチングプロセスの最中に終点を確立する方法に関する。本方法によれば、基板の表面を反応性エッチングガスと接触させて、基板の表面から材料を除去し、露出された表面を提供することによって、エッチング工程においてプラズマエッチする。前のエッチング工程において露出された水平及び垂直な表面の両方がパッシベーション層によって被覆されるように、基板の表面を堆積工程においてパッシベーションする。エッチング工程及びパッシベーション工程を交互に反復する。プラズマ発光を、TDMプロセスの交互に起きる性質によって課される特有の波長または単数若しくは複数の波長領域及び特性周波数で監視する。単数または複数の特有の波長領域は、好ましくはプロセスの最中に使用される材料の発光スペクトルに基づいて決定される。他の具体例においては、プラズマ発光を2つ以上の波長領域で監視し、第1の波長領域及び第2以上の波長領域の大きさの比(または他の数学的操作)を計算する。このような具体例においては、1つ以上の波長領域を選択して、バックグラウンド信号を表してよい。課される特性プロセス周波数を、エッチング工程の持続時間及びパッシベーション工程の持続時間の和に基づいて決定する。プロセスの特性周波数は、典型的なTDMプロセスの場合、5Hz未満である。監視工程に依存して、プロセスを一度に停止させる。
本発明の別の具体例は、TDMプロセスのための終点を検出する方法に関する。本方法によれば、プロセスのプラズマ発光スペクトルの第1の波長領域を特定する。TDMプロセスによって課される特性プロセス周波数も特定し、プラズマ発光スペクトルの第1の波長領域をこの特性プロセス周波数で監視する。特性プロセス周波数は、プロセス中に存在するエッチング及び堆積工程の和の確定した持続時間に基づく。特定された波長領域の大きさを、プロセスの間中監視して、プロセスの終点を決定する。発光スペクトルの第2のまたは多数の波長領域も監視する。TDMプロセスの終点を、波長領域の数学的組合せ(例えば、加算、減算または除算)に基づいて決定する。高速フーリエ変換または対応するデジタル信号処理技術を使用して、プラズマ発光スペクトルの周波数成分を分解する。プロセスの終点は典型的に、エッチングプロセスの最中に起きる材料遷移に基づいている。このような場合、スペクトルの特定された波長領域は、TDMプロセスの最中に使用される材料の既知のスペクトル特性に基づいている。
本発明のさらに別の具体例は、TDMプロセスにおける異なる材料の間の遷移を検出する方法に関する。この方法によれば、プロセスの特性周波数を特定する。プロセスの特性周波数は、TDMプロセスの一部として実行されるエッチング工程及び堆積工程の持続時間の和に基づいている。プロセスのスペクトル放出の領域を特性周波数で監視して、異なる材料の間の遷移を決定する。遷移は、TDMプロセスの一部として実行される一連のエッチング工程の終点で起きる。特性周波数で監視するスペクトル放出の領域は、TDMプロセスの最中に使用される材料の既知のスペクトル特性に依存している。
本発明の好適な具体例は、TDMプロセスの周波数(ν)に対応する周波数で集めた電磁気放出の少なくとも1つの波長成分を分析することによって、時分割多重化(TDM)プロセスにおいて異なる材料の間の遷移を検出する手段に関する。
TDMプロセスの設計による周期的かつ反復する性質が理由となって、プロセスは、これに関連する多数の特性周波数を有する。例として、4秒のエッチ工程及び多数回それに続き反復する6秒の堆積工程からなる2工程TDMシリコンエッチプロセスを検討されたい(下記の表1を参照されたい)。
Figure 0004724795
堆積及びエッチ工程は、化学、RFバイアス出力及び圧力が異なり、有意に異なる発光スペクトルを生じることに留意されたい。TDMプロセスの反復する性質並びに堆積及びエッチ工程の持続時間が理由となって、表Iにおいて、実施例プロセスは、サイクル繰返し時間10秒、従って予想特性周波数0.1Hzを有する。スペクトルのサンプリング率は、プラズマ発光の周期的な性質をエイリアシング無しに正確に捕捉するように、十分に速くなければならない。従って、サンプリング周波数は、ナイキスト周波数よりも高くなければならず、好ましくは予想特性周波数の10倍である。
図5の概略は、TDMプロセスのための改良されたOES技術に関する本発明の具体例の概観を示す。工程500において、TDMプロセスは、プロセスの工程の持続時間に依存して少なくとも1つの特性周波数を有して構成される。TDMプロセスの特性周波数は、典型的に5Hz未満である。TDMプロセスのプラズマ発光スペクトルの少なくとも1つの波長領域(プラズマ発光の場合、典型的に200〜1100nmの範囲内)を、プロセス終点検出に関して特定する。工程502において、単数または複数のスペクトル領域を、TDMエッチプロセスの間に監視する。TDMプロセスのための発光信号は周期的でありかつ反復するので、発光信号を周波数成分に分解することができる。少なくとも1つの周波数成分は特性プロセス周波数にあり、工程504において抽出される。周波数成分を抽出する1方法は高速フーリエ変換(FFT)の使用によるが、他のデジタル信号処理(DSP)技術も使用してよい。工程506において材料遷移を検出した場合、本方法は工程508に進行し、ここで、本方法は次のプロセス工程またはTDMシーケンスに進行する。工程506において材料遷移が検出されなかった場合、本方法は工程502に戻り、ここで、材料遷移を検出するまで少なくとも1つのスペクトル領域のプラズマ強度を監視する。FFTと共にOESを使用する先の教示とは異なり、時間と共に特性TDMプロセス周波数(典型的に5Hz未満であるが、必ずしも5Hz未満とは限らない)で発光信号の大きさを監視することは、TDMエッチプロセスの最中に層同士の間の遷移を検出する信頼性の高い方法を提供する。
本発明の他の具体例は、発光データから誘導された2つ以上の波長成分を使用して、終点検出の感度を改良する。波長成分のうちの少なくとも1つは、TDMプロセスの特性波長である。他の波長成分は、バックグラウンド信号を表すかもしれない。2つ以上の成分の数学的組合せ(例えば加算、減算または除算)は、特定の用途におけるより大きな感度を提供する。
本発明のアプローチは、2工程周期的プロセスに限定されるものではないことに留意されたい。実際に、プロセスのエッチ部分をさらに分割して多数の亜工程にすることが一般的である。TDMプロセスを亜工程へとさらに分割することは単に、追加のプロセスによって課される特性周波数を生じる。
エッチング工程及び堆積工程の各反復プロセスループの内部のプロセスパラメータは、サイクル間で一定のままである必要がないことに留意することも重要である。例えば、シリコンのTDMエッチングの最中に、プロセスの間の堆積またはエッチング工程の効率を徐々に変化させてプロフィル制御を維持する(プロセスモーフィング(process morphing)として従来技術において周知である)ことが一般的である。モーフィングされたプロセスにおいては、幾つかの数のエッチまたは堆積工程の間にプロセスパラメータに小さなパラメータ変化を起こす。こうしたものとしては、ガス流量、RFバイアス出力、プロセス圧力、誘導源出力(ICP)等が挙げられるが、これらに限定されるものではない。こうした変化はまた、TDMサイクル内部のプロセス工程の持続時間を変化させることを含むことができる。プロセスの間のサイクル時間の変化が変換の周波数分解よりも大きい場合、アルゴリズムを修正して、隣接する周波数成分の和を監視して、課されるプロセス周波数偏移を捕捉する。
本発明の好適な具体例を、SF/Cに基づくプロセスを使用するディープSiエッチングに関して証明するが、本発明の好適な具体例は、時分割多重化プロセスを利用するという条件で、化学とは無関係に有効である。好ましい方法は、他の材料の例えば誘電体材料及び金属における材料遷移を検出するために特に有用であり、ここでは反復時分割多重化プロセスを使用する。
本発明の具体例の有用性を証明するために、TDM手法を使用して、シリコンオンインシュレータ(SOI)ウェーハをエッチングした。手法を下記の表2に列記する。下記の実施例は、本発明の具体例を3工程TDMSiエッチプロセスに適用する。
Figure 0004724795
実験を、市販のユナキシス・シャトルロック・シリーズ・ディープ・シリコン・エッチ(DSE)ツール(Unaxis Shuttlelock series Deep Silicon Etch (DSE) tool)上で実行した。市販のユナキシス・スペクトラワークス発光分光計(Unaxis Spectraworks emission spectrometer)を使用して、発光スペクトルを周波数1Hzで集めた。
考察の対象となる単数または複数の波長領域を決定するために、試験ウェーハをエッチングし、シリコン層が除去される前及び後(プロセス終点)に堆積工程及びエッチ工程の両方におけるプラズマ発光スペクトルを分析した。図6は、試験ウェーハのプラズマ発光スペクトルに関する強度対波長プロットを示す。プロセスの終点の前の堆積スペクトル600、プロセスの終点の後の堆積スペクトル602、プロセスの終点の前のエッチスペクトル604及びプロセスの終点の後のエッチスペクトル606を図6に示す。検出器飽和608を、グラフ上部の線によって表す。プロセスの堆積相の最中にはほとんどエッチングは予想されないので、図7は、シリコンが除去される前700及び後702のエッチ工程から生じた発光スペクトルに焦点を合わせる。450nm近くのエッチスペクトルのわずかな差704に留意されたい。終点候補を決定するために、逐点差スペクトルを構成した。
生成したスペクトル800を図8に示す。終点検出のための候補が440nm及び686nmに生じる。440nmピークをフッ化ケイ素(SiF)発光(エッチ生成物−Siが除去されるにつれて減少する)に当てはめることができ、一方、686nmピークをフッ素(F)発光(反応物−Siが除去されるにつれて増大する)に当てはめることができる。
終点方法を、440発光ピークに基づいて構成した。図9は、440nmピークをより綿密に調べるために、終点前900及び終点後902のエッチ発光スペクトルの拡大図を示す。関連するノイズを低減するために、2つのスペクトル領域904及び906を監視した−狭い440nmピーク(SiF発光)及びバックグラウンド補正のための約445nmに中心があるより広いスペクトル領域である。
図10は、2つの領域内部の値対時間をプロットする。エッチが進行するにつれて振動信号のピークピーク値のわずかな減少が存在するが、プロセス終点を決定することは困難である。図11は、300〜400秒の範囲にわたる総エッチ時間の図10の拡大図を示す。信号(440nm)1100及びバックグラウンド(445nm)1102の領域は、より高強度の堆積工程1104の最中に互いに申し分なく一致するが、エッチ工程1106の終わり近くで分岐することに留意されたい。40nm信号及び445nmバックグラウンドの比を構成すると、図12を生じ、ここで、エッチ及び堆積工程の間の大きな発光変動が除去され、得られた信号は、行われるエッチングの程度をより表している。図12に示すように、比信号1200の周期的かつ反復する性質に留意されたい。
図13は、エッチの間の比信号(440nmSiF/445nmバックグラウンド)1300を示す。図13に示すように、600秒の近くの連続的なピーク高さの減少に留意されたい。図13に示すトレースの特性周波数は0.06Hzである。これは手法によって課される周波数(16秒に対応する)であり、表2における周期的プロセスの個々のプロセス工程の時間の和である。
高速フーリエ変換(FFT)の計算を使用した図13の信号の時間領域から周波数領域への転換は、図14に示す出力スペクトルを生じる。FFTの計算は、プロセスが進行するにつれてOES信号の展開する窓(evolving window)を形成するために追加された128の要素アレイに基づいている。点線で囲んだ欄で強調された周波数は、TDMプロセス手法の周期的かつ反復する性質が理由となって予想されるものである。図15は、プロセス中の2箇所でのFFT出力スペクトルの振幅を示す。0.06Hz成分は終点の前に大きな振幅を有し、Si層が除去された後にほぼゼロに減少することに留意されたい。0.06Hz成分の大きさを時間と共にプロットすると、図16に示すプロセス終点トレースを生じる。この曲線から実際の終点時間を決定することを様々な手段によって行うことができ、こうした手段としては、簡易しきい検出または示差検出が挙げられるが、これらに限定されるものではない。このような技術は当業者には周知である。
上記に検討した具体例は、堆積及びエッチング工程の発光スペクトルをプロセスの特性周波数で監視することによって正確に測定することによってTDMプロセスの終点を決定することを可能にする。このようなプロセスの終点を正確に決定する能力は、所望の形状特徴のオーバーエッチングの可能性を最小にするかまた無くし、従って、下にあるストップ層の厚さを低減する。加えて、“ノッチング”として従来技術において周知の形状特徴プロフィル劣化も最小にする。その上、本発明は、迅速かつ周期的なプラズマ変動を有する任意のプラズマプロセスにおいて使用するのに適している。従って、本発明は、従来技術に勝るかなりの改良である。
本開示は、添付の請求の範囲に含まれる部分並びに前述の説明の部分を含む。本発明をその好ましい形式においてある程度詳細に説明してきたが、好ましい形式の本開示は一例としてのみ行い、部分の構成及び組合せ及び配置の詳細の多数の変更を、本発明の精神及び範囲から逸脱することなく用いてよいことは理解されよう。
典型的なOES形状の概略を示す。 図2(a〜e)はTDMエッチプロセスの図を示す。 模範的なTDMプロセスの場合の堆積及びエッチプラズマ発光スペクトルのグラフである。 模範的なTDMプロセスの場合の終点トレースである。 TDMプロセスのための改良されたOES技術に関する本発明の具体例の概観を示す。 本発明の具体例を実証する際に使用される試験ウェーハに関する強度対波長プロットを示す。 TDMプロセスの終点の前及び後のエッチスペクトルのグラフを示す。 図7のエッチスペクトルの場合の基準化差スペクトルのグラフを示す。 終点前及び終点後のエッチ発光スペクトルの拡大図を示す。 図9に特定した2つのスペクトル領域内部のスペクトル強度の値対時間のプロットを示す。 300〜400秒の範囲にわたる総エッチ時間の図10の拡大図を示す。 図11に示した440nm信号及び443nm信号の比のグラフである。 エッチの間の図12に示した比信号のグラフである。 周波数領域における図13の信号のグラフである。 プロセスの終点の前及び後の図14の周波数領域信号の振幅のプロットである。 図15に示した周波数0.6Hz成分のプロットである。

Claims (10)

  1. プラズマエッチングプロセスにおいて終点を確立する方法であって:
    基板を真空チャンバ中に置く工程と;
    プラズマによって前記基板から材料をエッチングする工程と;
    プラズマによって前記基板表面にパッシベーション層を堆積する工程と;
    エッチング工程及び堆積工程を反復するプロセスループを一定の反復速度で実行する工程と;
    エッチング工程及び堆積工程の両方の過程において、少なくとも一つの予め定められた波長領域でプラズマ発光強度をサンプリングして発光信号を提供する工程と;
    発光信号を周波数成分に分解し、プラズマ発光強度の変動を、プロセスループ工程におけるエッチング工程及び堆積工程の持続時間の和に対応する特性周波数で監視する工程と;
    監視工程に基づいてプロセスループ工程を停止させる工程と;
    前記真空チャンバから前記基板を取り出す工程と;
    を含む方法。
  2. 前記プロセスによって課される周波数は、約5Hz未満である、請求項1に記載の方法。
  3. 前記プロセスループは、プロセスループ当り多数のエッチ工程をさらに含む、請求項1に記載の方法。
  4. 前記プロセスループは、プロセスループ当り多数の堆積工程をさらに含む、請求項1に記載の方法。
  5. 監視する工程は、プラズマ発光強度を複数の波長領域で監視することをさらに含む、請求項1に記載の方法。
  6. 前記複数の波長領域を監視する工程は、数学的操作を実行して、複数の周波数成分を抽出して、少なくとも1つの特性周波数を収集することをさらに含む、請求項に記載の方法。
  7. 前記数学的操作は高速フーリエ変換である、請求項に記載の方法。
  8. 前記複数の波長領域を監視する工程は、数学的操作を実行して、バックグラウンドプラズマ発光を補正することをさらに含む、請求項に記載の方法。
  9. 前記波長領域は、プラズマ発光スペクトルの数学的解析によって選択される、請求項に記載の方法。
  10. 前記数学的解析は主成分分析である、請求項に記載の方法。
JP2006503327A 2003-02-14 2004-02-04 時分割多重化エッチプロセスにおける終点検出方法 Expired - Lifetime JP4724795B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US44759403P 2003-02-14 2003-02-14
US60/447,594 2003-02-14
US10/770,839 US6982175B2 (en) 2003-02-14 2004-02-02 End point detection in time division multiplexed etch processes
US10/770,839 2004-02-02
PCT/US2004/003235 WO2004075255A2 (en) 2003-02-14 2004-02-04 End point detection in time division multiplexed etch processes

Publications (2)

Publication Number Publication Date
JP2006518913A JP2006518913A (ja) 2006-08-17
JP4724795B2 true JP4724795B2 (ja) 2011-07-13

Family

ID=32912269

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006503327A Expired - Lifetime JP4724795B2 (ja) 2003-02-14 2004-02-04 時分割多重化エッチプロセスにおける終点検出方法

Country Status (7)

Country Link
US (1) US6982175B2 (ja)
EP (1) EP1593141B1 (ja)
JP (1) JP4724795B2 (ja)
CN (1) CN1739185B (ja)
AT (1) ATE381773T1 (ja)
DE (1) DE602004010786T2 (ja)
WO (1) WO2004075255A2 (ja)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4101280B2 (ja) * 2006-07-28 2008-06-18 住友精密工業株式会社 終点検出可能なプラズマエッチング方法及びプラズマエッチング装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8691702B2 (en) 2011-03-14 2014-04-08 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103151300A (zh) * 2013-02-20 2013-06-12 上海华力微电子有限公司 一种去除硬质掩膜结构中缺陷的方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
EP3594998B1 (en) 2013-03-06 2022-01-05 Plasma-Therm, Llc Method for plasma dicing a semi-conductor wafer
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
JP6560909B2 (ja) * 2015-01-19 2019-08-14 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6316224B2 (ja) * 2015-02-17 2018-04-25 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10015412B2 (en) * 2016-09-06 2018-07-03 The Trustees For The Time Being Of Junior Barnes Family Trust Video capturing system and method for imaging cyclically moving objects
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP7213828B2 (ja) * 2017-05-25 2023-01-27 エリコン メテコ(ユーエス)インコーポレイテッド リアルタイム電圧監視を用いたプラズマガン診断
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62194426A (ja) * 1986-02-21 1987-08-26 Hitachi Ltd 分光器
JPS6323324A (ja) * 1986-07-16 1988-01-30 Sharp Corp ドライエツチング装置
JPS63288021A (ja) * 1986-10-17 1988-11-25 Hitachi Ltd プラズマ処理方法
JPH05506888A (ja) * 1990-05-18 1993-10-07 キシニックス インコーポレイテッド 妨害の除去
JPH09115883A (ja) * 1995-10-20 1997-05-02 Hitachi Ltd プラズマ処理の終点検出方法及び装置、並びに本検出方法及び装置を用いた半導体製造方法及び装置、並びに本検出方法及び装置を用いて製造された半導体素子
JP2000012527A (ja) * 1998-06-25 2000-01-14 Sumitomo Metal Ind Ltd エッチング終点判定方法及びエッチング終点判定装置
JP2001501041A (ja) * 1997-07-17 2001-01-23 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 半導体構造における異なった材料の接合部を認識する方法
JP2001044171A (ja) * 1999-07-28 2001-02-16 Matsushita Electric Ind Co Ltd エッチング終点検出方法および装置
JP2001093885A (ja) * 1999-09-22 2001-04-06 Olympus Optical Co Ltd エッチング監視装置
JP2002543611A (ja) * 1999-04-30 2002-12-17 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 半導体の異方性プラズマエッチング方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4491499A (en) * 1984-03-29 1985-01-01 At&T Technologies, Inc. Optical emission end point detector
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US6104487A (en) * 1996-12-20 2000-08-15 Texas Instruments Incorporated Plasma etching with fast endpoint detector
US6021215A (en) * 1997-10-10 2000-02-01 Lucent Technologies, Inc. Dynamic data visualization
GB9827065D0 (en) * 1998-12-10 1999-02-03 Orbis Technologies Ltd A plasma etching control device
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6238937B1 (en) * 1999-09-08 2001-05-29 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra with thresholding
US6745095B1 (en) * 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
CN1153056C (zh) * 2001-04-10 2004-06-09 华邦电子股份有限公司 以光学方法测量温度并监控蚀刻率的方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62194426A (ja) * 1986-02-21 1987-08-26 Hitachi Ltd 分光器
JPS6323324A (ja) * 1986-07-16 1988-01-30 Sharp Corp ドライエツチング装置
JPS63288021A (ja) * 1986-10-17 1988-11-25 Hitachi Ltd プラズマ処理方法
JPH05506888A (ja) * 1990-05-18 1993-10-07 キシニックス インコーポレイテッド 妨害の除去
JPH09115883A (ja) * 1995-10-20 1997-05-02 Hitachi Ltd プラズマ処理の終点検出方法及び装置、並びに本検出方法及び装置を用いた半導体製造方法及び装置、並びに本検出方法及び装置を用いて製造された半導体素子
JP2001501041A (ja) * 1997-07-17 2001-01-23 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 半導体構造における異なった材料の接合部を認識する方法
JP2000012527A (ja) * 1998-06-25 2000-01-14 Sumitomo Metal Ind Ltd エッチング終点判定方法及びエッチング終点判定装置
JP2002543611A (ja) * 1999-04-30 2002-12-17 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 半導体の異方性プラズマエッチング方法
JP2001044171A (ja) * 1999-07-28 2001-02-16 Matsushita Electric Ind Co Ltd エッチング終点検出方法および装置
JP2001093885A (ja) * 1999-09-22 2001-04-06 Olympus Optical Co Ltd エッチング監視装置

Also Published As

Publication number Publication date
DE602004010786T2 (de) 2008-12-04
DE602004010786D1 (de) 2008-01-31
EP1593141B1 (en) 2007-12-19
CN1739185B (zh) 2010-11-03
WO2004075255A3 (en) 2004-11-04
ATE381773T1 (de) 2008-01-15
JP2006518913A (ja) 2006-08-17
US6982175B2 (en) 2006-01-03
EP1593141A2 (en) 2005-11-09
WO2004075255A2 (en) 2004-09-02
CN1739185A (zh) 2006-02-22
US20040175913A1 (en) 2004-09-09

Similar Documents

Publication Publication Date Title
JP4724795B2 (ja) 時分割多重化エッチプロセスにおける終点検出方法
US7101805B2 (en) Envelope follower end point detection in time division multiplexed processes
US20060006139A1 (en) Selection of wavelengths for end point in a time division multiplexed process
US10002804B2 (en) Method of endpoint detection of plasma etching process using multivariate analysis
KR100912748B1 (ko) 부분최소제곱을 사용한 종단점검출을 위한 방법 및 장치
KR101123171B1 (ko) 플라즈마 에칭 프로세스의 프로세스 파라미터를 측정하는 방법 및 장치
EP0841682A2 (en) Method of detecting end point of plasma processing and apparatus for the same
TW201440155A (zh) 等離子體處理工藝的終點檢測裝置及方法
JP6560909B2 (ja) プラズマ処理方法およびプラズマ処理装置
WO2024091319A1 (en) Method for oes data collection and endpoint detection
US9865439B2 (en) Plasma processing apparatus
Westerman et al. Endpoint detection method for time division multiplex etch processes
JP2906752B2 (ja) ドライエッチング方法
TWI317539B (en) End point detection in time division multiplexed etch process
JPH06318572A (ja) プラズマ処理の終点検出方法およびその装置
Ma et al. An analysis of noise on optical emission spectroscopy measurements
Gasc et al. Real-time etching process monitoring and end-point detection with a time-of-flight mass spectrometer
JP2004253813A (ja) プラズマ処理の終点検出方法およびその装置
JPS59113625A (ja) 有機物被膜のプラズマエツチング終点検出法
JP2005317772A (ja) エッチング終点の検出方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061018

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101007

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101216

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110209

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110223

R150 Certificate of patent or registration of utility model

Ref document number: 4724795

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140422

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term