KR880005840A - 플라즈마 처리방법 및 장치 - Google Patents

플라즈마 처리방법 및 장치 Download PDF

Info

Publication number
KR880005840A
KR880005840A KR870011527A KR870011527A KR880005840A KR 880005840 A KR880005840 A KR 880005840A KR 870011527 A KR870011527 A KR 870011527A KR 870011527 A KR870011527 A KR 870011527A KR 880005840 A KR880005840 A KR 880005840A
Authority
KR
South Korea
Prior art keywords
plasma
sample
ions
acceleration voltage
voltage
Prior art date
Application number
KR870011527A
Other languages
English (en)
Other versions
KR900007687B1 (ko
Inventor
요시나오 가와사끼
히로노부 가와하라
유다까 가께히
가도오 히로베
가쓰요시 구도오
Original Assignee
미다 가쓰시게
가부시기가이샤 히다찌세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미다 가쓰시게, 가부시기가이샤 히다찌세이사꾸쇼 filed Critical 미다 가쓰시게
Publication of KR880005840A publication Critical patent/KR880005840A/ko
Application granted granted Critical
Publication of KR900007687B1 publication Critical patent/KR900007687B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/02Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

내용 없음

Description

플라즈마처리방법 및 장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 일실시예인 플라즈마처리장치를 나타낸 구성도.
제5도는 본 발명에 의한 에칭상태를 나타낸도.
제7도는 본 발명의 제2의 실시예인 플라즈마처리장치를 나타낸 구성도.
* 도면의 주요부분에 대한 부호의 설명
1, 37 : 방전관 2, 31 : 가스도입부
3, 32 : 배기부 4, 30 : 진공처리용기
5, 11 : 전극 5a : 시료대
6, 6a : 웨이퍼 7, 35 : 방전공간
8 : 마그네트론 9 : 도파관
10, 38 : 코일 12, 22 : 매칭박스
13, 23, 13a, 23a, 39 : 고주파전원 14 : 저주파통과필터
15 : 직류전원 16, 24 : 출력전압제어장치
17, 27 : 출력파형제어장치 18 : 질량흐름제어기
19 : 포토레지스트 20 : 폴리실리콘
21 : Si기판 25 : 합성기
26 : 교류파형발생기 28 : 파형
29 : 그리드전극 33 : 하부전극
34 : 상부전극 36 : 콘덴서

Claims (20)

  1. 임계전위를 가지는 가스를 저압하에서 플라즈마화하는 공정과, 상기 임계전위는 어느종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하여 그 이온을 시료에 입사 시키도록한 플라즈마를 사용하여 시료를 처리할때에 에칭작용과 퇴적작용이 균형을 이루는 전위이고, 시료에 향하여 상기 플라즈마중의 이온을 가속시키는 가속전압을 상기 임계전위를 사이에두고 변화시키는 공정을 가지는 플라즈마처리방법.
  2. 제1항에 있어서, 상기 가속전압은 직류전압과 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간이내의 반사이클시간을 가지는 주파수의 고주파전압과의 합의 전압으로 가하는 플라즈마 처리방법.
  3. 제1항에 있어서, 상기 가속전압은 주파수 100KHz에서 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간 이상의 반사이클시간을 가지는 주파수의 고주파전압을 인가하는 플라즈마처리방법.
  4. 제1항에 있어서, 상기 가속전압은 저주파의 교류전압과 플라스마중의 이온이 이온시이드를 통과하는데 요하는 시간이내의 반사이클을 가지는 주파수의 고주파전압과의 합의 전압으로 가하는 플라즈마처리장치.
  5. 제1항에 있어서, 상기 가속전압은 직류전압으로 가하는 플라즈마처리방법.
  6. 제1항에 있어서, 상기 가속전압은 상기 시료의 시료대에 인가하는 전압, 또는 상기 시료와 상기 플라즈마와의 사이에 설치한 그리드전극에 인가하는 전압으로 이루어지는 플라즈마처리방법.
  7. 제1항에 있어서, 상기 가속전압은 상기 임계전위를 사이에두고 변화시킴과 동시에 애칭작용중에도 변화시키는 플라즈마처리방법.
  8. 임계전위를 가지는 가스를 감압하에서 플라즈마화하는 공정과, 상기 임계전위는 어느종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하여 그 이온을 시료에 입사시키도록한 플라즈마를 사용하여 시료를 처리할때에 에칭작용과 퇴적작용이 평형을 이루는 전위이고, 시료에 향하여 상기 플라즈마중의 이온을 가속시키는 가속전압을 상기 임계전위를 사이에두고 변화시켜 에칭작용과 퇴적작용을 교대로 행하여 깊이방향으로 단계적으로 행하는 공정을 가지는 플라즈마처리방법.
  9. 제8항에 있어서, 상기 에칭작용의 시간은 상기 시료에 생기는 언더커트가 허용치내에 들어가는 시간으로하고 상기 퇴적작용의 시간은 다음이 에칭처리를 행하는 동안 에칭측면의 보호막이 남을만큼의 막두께를 성막할 수 있는 시간으로 하는 플라즈마처리방법.
  10. 제8항에 있어서, 상기 시료가 폴리실리콘인 경우 상기 가스는 C2Cl3F3, C2Cl4F2, CCl4또는 C4F4중 어느 하나의 단독가스 그렇치않으면 SF6또는 NF3와의 혼합가스이고, 상기 시료가 알루미늄인 경우, 상기 가스는 CCl4, CF4, C2F6, C4F8또는 SiCl4중의 어느 하나의 단독가스 또는 Cl2와의 혼합가스인 플라즈마처리방법.
  11. 임계전위를 가지는 가스를 감압하에서 플라즈마화하는 수단과, 상기 임계전위는 어느 종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하여 그 이온을 시료에 입사시키도록한 플라즈마를 사용하여 시료를 처리할때에, 에칭작용과 퇴적작용이 평형일때의 전위이고, 시료에 향하여 상기 플라즈마중의 이온을 가속시키는 가속전압을 상기 임계전위를 사이에두고 변화시키는 수단을 가지는 플라즈마처리장치.
  12. 제11항에 있어서, 상기 가속전압과 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간 이내의 반사이클시간을 가지는 주파수의 고주파전원에 의하여 부여되는 플라즈마처리장치.
  13. 제11항에 있어서, 상기 가속전압은 주파수 100KHz에서 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간 이상의 반사이클시간을 가지는 주파수의 고주파전원에 의하여 부여되는 플라즈마처리장치.
  14. 제11항에 있어서, 상기 가속전압은 저주파의 교류전원과 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간이내의 반사이클시간을 가지는 주파수의 고주파전원에 의하여 가해지는 플라즈마처리장치.
  15. 제11항에 있어서, 상기 가속전압은 직류전원에 의하여 부여되는 플라즈마처리장치.
  16. 제11항에 있어서, 상기 가속전압은 상기 시료의 시료대에 인가하는 전원, 또는 상기 시료의 시료대에인가하는 전원 또는 상기 시료와 상기 플라즈마와의 사이에 설치된 그리드전극에 의하여 부여되는 플라즈마 처리장치.
  17. 제11항에 있어서, 상기 가속전압은 상기 임계전위를 사이에두고 변화시킴과 동시에 에칭작용중에도 변화시키는 플라즈마처리장치.
  18. 임계전위를 가지는 가스를 감압하에서 플라즈마화하는 수단과, 상기 임계전위는 어느종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하고 그 이온을 시료에 입사시키도록한 플라즈마를 사용하여 시료를 치리할때에 에칭작용과 퇴적작용이 평형일때의 전위이고, 시료에 향하여 상기 플라즈마이온을 가속시키는 가속전압을 상기 임계전위를 사이에두고 변화시켜 에칭작용과 퇴적작용을 교대로 행하는 수단을 가지는 플라즈마처리장치.
  19. 제18항에 있어서, 상기 시료가 폴리실리콘인 경우 상기 가스는 C2Cl3F3, C2Cl4F2, CCl4또는 C4F8중 어느 하나의 단독가스 그렇치않으면 SF6또는 NF3와의 혼합가스이고, 상기 시료가 알루미늄인 경우, 상기 가스는 CCl4, CF4, C2F6, C4F8또는 SiCl4중의 어느 하나의 단독가스 또는 그렇지 않으면 Cl2와의 혼합가스인 플라즈마처리장치.
  20. 임계전위를 가지는 가스를 마이크로파에 의한 전계와 자계발생수단에 의한 자계와의 작용을 사용하여 감압하에서 플라즈마화하는 수단과, 상기 임계전위는 어느종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하고 그 이온을 시료에 입사시키도록한 플라즈마를 사용하여 시료를 처리할때에 에칭작용과 퇴적작용이 평형을 이루는 전위이고, 시료를 올려놓는 시료대에 가속전압을 인가하는 수단과, 그 가속전압을 상기 임계전위는 사이에두고 변화시키는 수단을 가지는 플라즈마처리장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019870011527A 1986-10-17 1987-10-17 플라즈마처리방법 및 장치 KR900007687B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP24526186 1986-10-17
JP245361 1986-10-17
JP61-245261 1986-10-17

Publications (2)

Publication Number Publication Date
KR880005840A true KR880005840A (ko) 1988-06-30
KR900007687B1 KR900007687B1 (ko) 1990-10-18

Family

ID=17131048

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019870011527A KR900007687B1 (ko) 1986-10-17 1987-10-17 플라즈마처리방법 및 장치

Country Status (2)

Country Link
US (1) US4795529A (ko)
KR (1) KR900007687B1 (ko)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4947085A (en) * 1987-03-27 1990-08-07 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5230931A (en) * 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
JP2501118B2 (ja) * 1988-06-17 1996-05-29 忠弘 大見 半導体装置の製造方法
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
FR2639363B1 (fr) * 1988-11-23 1991-02-22 Centre Nat Rech Scient Procede et dispositif de traitement de surface par plasma, pour un substrat porte par une electrode
US5089746A (en) * 1989-02-14 1992-02-18 Varian Associates, Inc. Production of ion beams by chemically enhanced sputtering of solids
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US5145554A (en) * 1989-02-23 1992-09-08 Seiko Epson Corporation Method of anisotropic dry etching of thin film semiconductors
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4985113A (en) * 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
US5236537A (en) * 1989-04-07 1993-08-17 Seiko Epson Corporation Plasma etching apparatus
US5133830A (en) * 1989-04-07 1992-07-28 Seiko Epson Corporation Method of pretreatment and anisotropic dry etching of thin film semiconductors
US5126028A (en) * 1989-04-17 1992-06-30 Materials Research Corporation Sputter coating process control method and apparatus
EP0395415B1 (en) * 1989-04-27 1995-03-15 Fujitsu Limited Apparatus for and method of processing a semiconductor device using microwave-generated plasma
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5194119A (en) * 1989-05-15 1993-03-16 Seiko Epson Corporation Method of anisotropic dry etching of thin film semiconductors
DE69017271T2 (de) * 1989-06-15 1995-06-22 Semiconductor Energy Lab Gerät zur Bearbeitung mittels Mikrowellen in einem magnetischen Feld.
JP2934456B2 (ja) * 1989-07-14 1999-08-16 株式会社日立製作所 表面処理方法及びその装置
JPH03150365A (ja) * 1989-07-26 1991-06-26 Tokyo Electron Ltd 熱処理装置
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US4987102A (en) * 1989-12-04 1991-01-22 Motorola, Inc. Process for forming high purity thin films
JP2673380B2 (ja) * 1990-02-20 1997-11-05 三菱電機株式会社 プラズマエッチングの方法
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5211804A (en) * 1990-10-16 1993-05-18 Oki Electric Industry, Co., Ltd. Method for dry etching
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
US5302882A (en) * 1991-09-09 1994-04-12 Sematech, Inc. Low pass filter for plasma discharge
US5325019A (en) * 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
EP0710055B1 (en) 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
JP3799073B2 (ja) * 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5902494A (en) * 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US6121163A (en) 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6465043B1 (en) 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
JPH10150025A (ja) 1996-11-20 1998-06-02 Mitsubishi Electric Corp プラズマ反応装置
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6369348B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc Plasma reactor with coil antenna of plural helical conductors with equally spaced ends
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
JPH11330262A (ja) * 1998-05-15 1999-11-30 Mitsubishi Electric Corp 半導体装置の製造方法
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
ATE458261T1 (de) * 1998-12-11 2010-03-15 Surface Technology Systems Plc Plasmabehandlungsgerät
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
DE60015270T2 (de) * 1999-04-14 2006-02-09 Surface Technology Systems Plc, Newport Verfahren und gerät zur stabilisierung eines plasmas
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
DE19927806A1 (de) * 1999-06-18 2001-01-04 Bosch Gmbh Robert Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
GB2360530A (en) * 2000-03-25 2001-09-26 Plasma Quest Ltd High target utilisation sputtering system with remote plasma source
JP2001279455A (ja) * 2000-03-29 2001-10-10 Canon Inc 堆積膜形成方法及び堆積膜形成装置
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US20020090815A1 (en) * 2000-10-31 2002-07-11 Atsushi Koike Method for forming a deposited film by plasma chemical vapor deposition
KR100399019B1 (ko) * 2001-04-23 2003-09-19 한국과학기술연구원 상온 화학 증착 시스템 및 이를 이용한 복합 금속막 제조 방법
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US7074723B2 (en) 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US7115520B2 (en) * 2003-04-07 2006-10-03 Unaxis Usa, Inc. Method and apparatus for process control in time division multiplexed (TDM) etch process
US7381650B2 (en) * 2003-04-07 2008-06-03 Unaxis Usa Inc. Method and apparatus for process control in time division multiplexed (TDM) etch processes
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
CN100401491C (zh) * 2003-05-09 2008-07-09 优利讯美国有限公司 时分复用处理中的包络跟随器终点检测
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
JP4584572B2 (ja) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
CN100517596C (zh) * 2004-06-29 2009-07-22 优利讯美国有限公司 减少时分复用蚀刻工艺中蚀刻纵横比相关度的方法和装置
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
WO2009014726A1 (en) * 2007-07-26 2009-01-29 The Regents Of The University Of California Methods for enhancing bacterial cell display of proteins and peptides
US9039908B2 (en) 2008-08-27 2015-05-26 Applied Materials, Inc. Post etch reactive plasma milling to smooth through substrate via sidewalls and other deeply etched features
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
JP5864879B2 (ja) * 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US20130048082A1 (en) * 2011-08-22 2013-02-28 Mirzafer Abatchev System, method and apparatus for real time control of rapid alternating processes (rap)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5637311A (en) * 1979-08-27 1981-04-11 Kanebo Ltd Polyester fiber for woven and knitted fabric
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
JPS6113625A (ja) * 1984-06-29 1986-01-21 Hitachi Ltd プラズマ処理装置
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS6141132A (ja) * 1984-07-31 1986-02-27 Omron Tateisi Electronics Co 光スイツチ装置

Also Published As

Publication number Publication date
KR900007687B1 (ko) 1990-10-18
US4795529A (en) 1989-01-03

Similar Documents

Publication Publication Date Title
KR880005840A (ko) 플라즈마 처리방법 및 장치
KR900013595A (ko) 플라즈마 에칭방법 및 장치
KR960019567A (ko) 표면처리장치 및 표면처리장치
KR940022726A (ko) 플라즈마 처리방법 및 플라즈마 처리장치
KR960026129A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JPS5751265A (en) Microwave plasma etching device
DE69523488T2 (de) Verfahren und Vorrichtung zum Zünden von Plasmen in einem Process modul
JP2002289583A (ja) ビーム処理装置
KR950034578A (ko) 플라즈마 처리방법, 플라즈마 처리장치 및 플라즈마 발생장치
JPH0196388A (ja) プラズマによる被覆又はエッチング装置
KR940022689A (ko) 플라즈마 처리시스템 및 플라즈마 처리방법
KR900014639A (ko) 마이크로파 플라스마 에칭방법 및 장치
KR910010753A (ko) 전자사이클로트론 공명을 사용한 플라즈마처리방법 및 장치
JPH0820880A (ja) ドライエッチング方法
KR950034507A (ko) 헬리콘파플라즈마처리방법 및 장치
JPH07142453A (ja) プラズマエッチング装置
JP2635195B2 (ja) 静電チャックの帯電除去方法
KR930021037A (ko) 플라즈마 발생방법 및 그 장치
RU1407384C (ru) Способ обработки металлических деталей импульсной плазмой
JP2004134716A (ja) 2電源方式プラズマ発生装置
JPH01137632A (ja) プラズマエッチング装置
JPS63288021A (ja) プラズマ処理方法
JPS6464326A (en) Plasma cleaning method
JPS642321A (en) Plasma etching device
JPS6414920A (en) Plasma etching apparatus

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 19971006

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee