KR940022726A - 플라즈마 처리방법 및 플라즈마 처리장치 - Google Patents

플라즈마 처리방법 및 플라즈마 처리장치 Download PDF

Info

Publication number
KR940022726A
KR940022726A KR1019940006705A KR19940006705A KR940022726A KR 940022726 A KR940022726 A KR 940022726A KR 1019940006705 A KR1019940006705 A KR 1019940006705A KR 19940006705 A KR19940006705 A KR 19940006705A KR 940022726 A KR940022726 A KR 940022726A
Authority
KR
South Korea
Prior art keywords
plasma
processing
processing method
processing chamber
plasma processing
Prior art date
Application number
KR1019940006705A
Other languages
English (en)
Other versions
KR100324792B1 (ko
Inventor
유다카 미우라
쇼조 호소다
이즈미 아라이
요시후미 다하라
히로시 니시카와
요시노부 미다노
순이치 이이무로
가즈오 후카사와
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼가이샤
이노우에 쥰이치
도오교오 에레구토론 야마나시 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP05140031A external-priority patent/JP3086362B2/ja
Priority claimed from JP5346196A external-priority patent/JPH06338476A/ja
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼가이샤, 이노우에 쥰이치, 도오교오 에레구토론 야마나시 가부시끼가이샤 filed Critical 이노우에 아키라
Publication of KR940022726A publication Critical patent/KR940022726A/ko
Application granted granted Critical
Publication of KR100324792B1 publication Critical patent/KR100324792B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

본 발명은 피처리체를 재치한 처리실 내에 고주파 전력을 인가함에 따라 상기 처리실 내에 플라즈마를 발생시키고, 플라즈마의 분위기에서 피처리체에 처리를 실시하는 플라즈마 처리방법에 있어서, 고주파 전력에 저주파 전력에 의한 변조를 가하는 것을 특징으로 하는 플라즈마 처리방법을 제공한다.
또, 본 발명은, 전류방향이 시간이 경과함에 따라 변화하는 전력을 사용하여 처리실 내에 플라즈마를 발생시키고, 처리실 내에 재치된 피처리체에 대하여 플라즈마의 분위기하에서 처리를 실시하는 플라즈마 처리방법에 있어서, 기본 주파수를 가지는 전력에, 기본 주파수의 n배(n=정수)의 주파수에 의하여 주파수 변조를 가하는 것을 특징으로 하는 플라즈마 처리방법을 제공한다.
또, 본 발명은, 가스 도입수단을 가지는 전극에 형성한 제1가스 도입구멍을 통하여 처리실에 처리가스를 공급하면서 대향하는 전극에 유지한 피처리체에 대하여 플라즈마 처리를 실시하는 플라즈마 처리장치에 있어서, 가스 도입수단으로부터 가스 도입 구멍을 통하여 처리실에 유통하는 처리가스에 대하여, 처리실의 처리압력을 0.5Torr 이하로 설정한 때에, 가스 도입수단 내에 플라즈마 방전을 일으키지 않도록 저항을 부여하는 저항 부여수단이 설치되어 있는 플라즈마 처리장치를 제공한다.

Description

플라즈마 처리방법 및 플라즈마 처리장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 플라즈마 처리방법의 일실시예에 사용되는 마그네트론 RIE 장치를 나타내는 개략도.
제2도는 반도체 웨이퍼가 챠지업된 상태를 설명하기 위한 도면.
제3도는 본 발명의 플라즈마 처리방법의 다른 실시예에 사용되는 평행 평판형 RIE 장치를 나타내는 개략도.

Claims (15)

  1. 피처리체(W)를 재치한 처리실(2)내에 고주파 전력을 인가함에 따라 상기 처리실 내에 플라즈마를 발생시키고, 상기 플라즈마의 분위기하에서 상기 피처리체에 처리를 실시하는 플라즈마 처리방법에 있어서, 상기 고주파 전력에 저주파 전력에 의한 변조를 가하는 것을 특징으로 하는 플라즈마 처리방법.
  2. 제1항에 있어서, 상기 변조는 주파수 변조 또는 진폭변조인 것을 특징으로 하는 플라즈마 처리방법.
  3. 제1항에 있어서, 상기 변조는 상기 피처리체(W)에 있어서의 챠지전압이 대략 10V로 된 때에 하는 것을 특징으로 하는 플라즈마 처리방법.
  4. 전류방향이 시간이 경과함에 따라 변화하는 전력을 사용하여 처리실내에 플라즈마를 발생시키고, 상기 처리실 내에 재치된 피처리체(W)에 대하여 상기 플라즈마의 분위기하에서 처리를 실시하는 플라즈마 처리방법에 있어서, 기본 주파수를 가지는 전력에, 상기 기본 주파수의 n배(n=정수)의 주파수에 의하여 주파수 변조를 가하는 것을 특징으로 하는 플라즈마 처리방법.
  5. 제4항에 있어서, 상기 주파수 변조가 서로 다른 여러 개의 주파수에 의하여 이루어지는 것을 특징으로 하는 플라즈마 처리방법.
  6. 제4항에 있어서, 상기 주파수 변조가 신호를 주기단위로 분할하여 이루어지는 것을 특징으로 하는 플라즈마 처리방법.
  7. 제4항에 있어서, 상기 전류방향이 시간경과에 따라서 변화하는 전력의 상기 주파수 변조에 대신하여, 상기 전류방향이 시간경과에 따라서 변화하는 전력의 진폭변조가 이루어지는 것을 특징으로 하는 플라즈마 처리방법.
  8. 제7항에 있어서, 상기 진폭변조에 의하여 얻어진 반송파형에 있어서의 상승부와 하강부의 시간이 모두 10μsec 이하로 되도록 하는 것을 특징으로 하는 플라즈마 처리방법.
  9. 제4항에 있어서, 상기 전류방향이 시간경과에 따라서 변화하는 전력이, 고주파 전력인 것을 특징으로 하는 플라즈마 처리방법.
  10. 피처리체(W)를 재치한 처리실 내에 고주파 전력을 인가하는 것에 의하여 상기 처리실 내에 플라즈마를 발생시키고, 상기 플라즈마의 분위기하에서 상기 피처리체에 처리를 실시하는 플라즈마 처리방법에 있어서, 상기 처리실 내에 도입된 처리가스가 발생한 플라즈마에 의하여 최종단계의 해리를 일으키지 않도록 상기 고주파 전력에 저주파 전력에 의한 주파수 변조를 가하는 것을 특징으로 하는 플라즈마 처리방법.
  11. 제10항에 있어서, 상기 주파수 변조가 서로 다른 여러 개의 주파수에 의하여 이루어지는 것을 특징으로 하는 플라즈마 처리방법.
  12. 제10항에 있어서, 상기 주파수 변조가 주파수 신호를 주기단위로 분할하여 이루어지는 것을 특징으로 하는 플라즈마 처리방법.
  13. 가스 도입수단을 가지는 전극에 형성한 제1가스 도입구멍을 통하여 처리실에 처리가스를 공급하면서 대향하는 전극에 유지한 피처리체에 대하여 플라즈마 처리를 실시하는 플라즈마 처리장치에 있어서, 상기 가스 도입수단으로부터 상기 가스 도입 구멍을 통하여 상기 처리실에 유통하는 처리가스에 대하여, 상기 처리실의 처리압력을 0.5Torr 이하로 설정한 때에, 상기 가스 도입수단 내에 플라즈마 방전을 일으키지 않도록 저항을 부여하는 저항 부여수단이 설치되어 있는 플라즈마 처리방법.
  14. 제13항에 있어서, 상기 저항 부여수단은, 제2가스 도입구멍을 가지는 전극의 상기 가스 도입 수단측에 설치된 저항 플레이트를 가지는 것을 특징으로 하는 플라즈마 처리장치.
  15. 제13항에 있어서, 상기 저항 부여수단은, 적어도 상기 저항 플레이트의 두께, 상기 제1 또는 제2가스 도입구멍의 피치 및 상기 제1 또는 제2가스 도입구멍의 구멍 직경을 변화시킴에 따라 상기 유통하는 처리가스에 대한 저항을 변화시키도록 구성되어 있는 것을 특징으로 하는 플라즈마 처리장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940006705A 1993-03-31 1994-03-31 플라즈마처리장치 KR100324792B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP9844593 1993-03-31
JP93-98445 1993-03-31
JP93-140031 1993-05-19
JP05140031A JP3086362B2 (ja) 1993-05-19 1993-05-19 プラズマ処理装置
JP5346196A JPH06338476A (ja) 1993-03-31 1993-12-22 プラズマ処理方法
JP93-346196 1993-12-22

Publications (2)

Publication Number Publication Date
KR940022726A true KR940022726A (ko) 1994-10-21
KR100324792B1 KR100324792B1 (ko) 2002-06-20

Family

ID=27468638

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940006705A KR100324792B1 (ko) 1993-03-31 1994-03-31 플라즈마처리장치

Country Status (2)

Country Link
US (1) US6110287A (ko)
KR (1) KR100324792B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160027845A (ko) 2014-09-02 2016-03-10 (주)얼라이드 테크 파인더즈 플라즈마 장치
KR101695748B1 (ko) 2015-07-20 2017-01-23 (주)얼라이드 테크 파인더즈 플라즈마 장치

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
EP0925605A4 (en) * 1996-07-03 2003-11-05 Tegal Corp METHOD AND APPARATUS FOR ATTACKING SEMICONDUCTOR WAFERS
JPH10150025A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp プラズマ反応装置
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
US7361287B2 (en) * 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
KR100748798B1 (ko) 1999-05-06 2007-08-13 동경 엘렉트론 주식회사 플라즈마 에칭 장치
JP4578651B2 (ja) 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4454781B2 (ja) * 2000-04-18 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US7112533B2 (en) 2000-08-31 2006-09-26 Micron Technology, Inc. Plasma etching system and method
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
US6891610B2 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
US6806201B2 (en) * 2000-09-29 2004-10-19 Hitachi, Ltd. Plasma processing apparatus and method using active matching
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
JP2002198355A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
WO2002059933A2 (en) * 2001-01-22 2002-08-01 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
WO2002061173A2 (en) * 2001-01-29 2002-08-08 The Board Of Regents For Oklahoma State University Advanced composite ormosil coatings
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
KR20030028296A (ko) * 2001-09-28 2003-04-08 학교법인 한양학원 플라즈마 화학기상증착 장치 및 이를 이용한 탄소나노튜브제조방법
US7887889B2 (en) * 2001-12-14 2011-02-15 3M Innovative Properties Company Plasma fluorination treatment of porous materials
JP4504684B2 (ja) * 2001-12-27 2010-07-14 東京エレクトロン株式会社 エッチング方法
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6843880B2 (en) * 2002-05-24 2005-01-18 International Business Machines Corporation Enhanced endpoint detection for wet etch process control
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
JP4607517B2 (ja) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
WO2005092025A2 (en) * 2004-03-22 2005-10-06 Kla-Tencor Technologies Corp. Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7148073B1 (en) 2005-03-15 2006-12-12 Kla-Tencor Technologies Corp. Methods and systems for preparing a copper containing substrate for analysis
KR100673979B1 (ko) * 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
JP4704088B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
FI119478B (fi) * 2005-04-22 2008-11-28 Beneq Oy Reaktori
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7685965B1 (en) 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
KR100724571B1 (ko) * 2006-02-13 2007-06-04 삼성전자주식회사 인시투 클리닝 기능을 갖는 플라즈마 처리장치 및 그사용방법
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
JP5082338B2 (ja) * 2006-08-25 2012-11-28 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP2008060429A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 基板のプラズマ処理装置及びプラズマ処理方法
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US20080083979A1 (en) * 2006-10-10 2008-04-10 Sumitomo Electric Industries, Ltd. Wafer holder and semiconductor manufacturing apparatus equipped with wafer holder
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US9147588B2 (en) * 2007-03-09 2015-09-29 Tel Nexx, Inc. Substrate processing pallet with cooling
JP5514413B2 (ja) 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
JP5693807B2 (ja) * 2008-01-22 2015-04-01 東京エレクトロン株式会社 基板処理装置用の部品及び皮膜形成方法
JP5224837B2 (ja) * 2008-02-01 2013-07-03 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP2010118549A (ja) 2008-11-13 2010-05-27 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9887069B2 (en) * 2008-12-19 2018-02-06 Lam Research Corporation Controlling ion energy distribution in plasma processing systems
JP5674280B2 (ja) * 2009-03-02 2015-02-25 東京エレクトロン株式会社 プラズマ処理装置
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) * 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
KR101315950B1 (ko) * 2009-06-24 2013-10-08 엘지전자 주식회사 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법
CN101989525A (zh) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 具备可切换偏置频率的等离子体处理腔及可切换匹配网络
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
TWI436831B (zh) 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
TWI592978B (zh) * 2012-06-22 2017-07-21 蘭姆研究公司 用以處理在電漿處理腔室中之基板的方法
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9105447B2 (en) 2012-08-28 2015-08-11 Advanced Energy Industries, Inc. Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR101542905B1 (ko) 2013-04-26 2015-08-07 (주)얼라이드 테크 파인더즈 반도체 장치
CN104167343B (zh) * 2013-05-17 2016-07-13 中微半导体设备(上海)有限公司 等离子体处理装置及其射频屏蔽装置
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
KR101637158B1 (ko) * 2014-07-02 2016-07-07 (주)화인솔루션 이온 소스
KR101692251B1 (ko) 2014-08-06 2017-01-03 (주)얼라이드 테크 파인더즈 플라즈마 장치
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
KR101648536B1 (ko) 2014-09-25 2016-08-16 (주)얼라이드 테크 파인더즈 플라즈마 장치
KR101762230B1 (ko) 2015-09-09 2017-08-03 (주)얼라이드 테크 파인더즈 Rf 전원부를 갖는 플라즈마 장치
CN113675131A (zh) * 2015-11-09 2021-11-19 古河电气工业株式会社 半导体芯片的制造方法和用于该制造方法的掩模一体型表面保护带
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
TWI728197B (zh) 2016-10-24 2021-05-21 美商克萊譚克公司 整合至一計量及/或檢測工具中之製程模組
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP7289313B2 (ja) 2017-11-17 2023-06-09 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
KR20200100641A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10515781B1 (en) 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
KR20210136481A (ko) 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5633839A (en) * 1979-08-29 1981-04-04 Hitachi Ltd Plasma treatment and device therefor
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
JPH0454373A (ja) * 1990-06-22 1992-02-21 Jatco Corp 変速機の制御装置
JP2892787B2 (ja) * 1990-07-20 1999-05-17 東京エレクトロン株式会社 電気信号の抽出方法
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3064562B2 (ja) * 1991-09-10 2000-07-12 株式会社神戸製鋼所 耐隙間腐食性表面改質TiまたはTi基合金部材

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160027845A (ko) 2014-09-02 2016-03-10 (주)얼라이드 테크 파인더즈 플라즈마 장치
KR101695748B1 (ko) 2015-07-20 2017-01-23 (주)얼라이드 테크 파인더즈 플라즈마 장치

Also Published As

Publication number Publication date
US6110287A (en) 2000-08-29
KR100324792B1 (ko) 2002-06-20

Similar Documents

Publication Publication Date Title
KR940022726A (ko) 플라즈마 처리방법 및 플라즈마 처리장치
Massines et al. A comparison of polypropylene-surface treatment by filamentary, homogeneous and glow discharges in helium at atmospheric pressure
Massines et al. Comparison between air filamentary and helium glow dielectric barrier discharges for the polypropylene surface treatment
KR880005840A (ko) 플라즈마 처리방법 및 장치
US6165376A (en) Work surface treatment method and work surface treatment apparatus
KR960032626A (ko) 플라즈마 처리장치
KR850003059A (ko) 플러스머 처리방법 및 그 장치
DE69523488D1 (de) Verfahren und Vorrichtung zum Zünden von Plasmen in einem Process modul
KR900013595A (ko) 플라즈마 에칭방법 및 장치
DE69720310D1 (de) Vorrichtung und Verfahren zur Reinigung einer Flüssigkeit mittels Elektrodeionisation
EP0838840A3 (en) Pulsed plate plasma implantation method and apparatus
KR960009822A (ko) 대전방지방법 및 대전방지용 이온 빔장치
GB9322966D0 (en) Method for making a semiconductor and apparatus for the same
DE69721079D1 (de) Verfahren und vorrichtung zur ionenerzeugung
CA2450465A1 (en) Mass spectrometers and methods of ion separation and detection
KR920005261A (ko) 이온 주입 장치 및 그 클리닝 방법
KR970052183A (ko) 이온 빔 각도 조정이 가능한 이온 주입기
Wang et al. Space charge characteristics in cross-linking polyethylene under electrical stress from dc to power frequency
DE69606087D1 (de) Verfahren und Elektrodenanordnung zur Anregung eines Plasmas
JPS6144611B2 (ko)
KR970073239A (ko) 플라즈마 이온 주입에 의한 고분자 소재의 표면 개질 방법 및 그 장치(Method for Modifying a Surface of Polymeric Material Using Plasma Source Ion Implantation and Apparatus Therefor)
US7025895B2 (en) Plasma processing apparatus and method
Ercilbengoa et al. Anodic glow and current oscillations in medium-and low-pressure dark discharges
CA2119507A1 (en) Method and Apparatus for All Code Testing
KR100274911B1 (ko) 도금용 양방향펄스 전원장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120119

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20130118

Year of fee payment: 12

EXPY Expiration of term