JP2023549608A - 極紫外線(euv)レジストパターニング現像のための方法 - Google Patents

極紫外線(euv)レジストパターニング現像のための方法 Download PDF

Info

Publication number
JP2023549608A
JP2023549608A JP2023528544A JP2023528544A JP2023549608A JP 2023549608 A JP2023549608 A JP 2023549608A JP 2023528544 A JP2023528544 A JP 2023528544A JP 2023528544 A JP2023528544 A JP 2023528544A JP 2023549608 A JP2023549608 A JP 2023549608A
Authority
JP
Japan
Prior art keywords
plasma
layer
portions
exposed
patterning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023528544A
Other languages
English (en)
Inventor
ハン,ユン
ヴェンツェク,ピーター
ランジャン,アロック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2023549608A publication Critical patent/JP2023549608A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Analytical Chemistry (AREA)

Abstract

金属酸化物フォトレジストなどの極紫外線(EUV)(又はより短波長)フォトレジストをパターニングするための方法が本明細書で提供される。金属酸化物フォトレジストを含むパターニング層が、基板上に設けられた1層以上の下地層上に形成され、パターニング層の上にあるマスクにより覆われていない、パターニング層の部分が、EUV光又はより短波長の光で露光される。引き続き、反復するドライプロセスが実施されて、EUV光又はより短波長の光で露光された、パターニング層の部分(すなわち、露光部分)が除去され、金属酸化物フォトレジストパターンが現像される。反復するドライプロセスは一般に複数の堆積工程及びエッチング工程を含み、堆積工程は、基板を第1のプラズマに曝露させることにより、パターニング層の非露光部分上に保護層を選択的に堆積させ、エッチング工程は、基板を第2のプラズマに曝露させることにより、パターニング層の露光部分を選択的にエッチングする。

Description

参照による組み込み
本開示は、2020年11月13日に出願された米国非仮特許出願第17/097,921号明細書の利益を主張するものであり、その内容の全体が参照により本明細書に組み込まれる。
本開示は、基板の処理に関する。具体的には、本開示は、EUV(又はより短波長)フォトレジストをパターニングするための新規なシステム及び方法を提供する。
低減されたフィーチャサイズを実現するために、極紫外線(EUV)リソグラフィを使用するパターニングが処理システムに導入されてきた。EUVリソグラフィは、典型的には、6~16ナノメートル(nm)又はそれ未満の波長を有する光を使用する。例えば、EUVパターニング技術が、サブ7nmノードの進化した半導体デバイス製造において生産に導入されている。低減されたフィーチャサイズが実現されているが、EUVパターニングにおいてパターン性能の課題が生じている。
基板上に形成された1層以上の下地層上にパターンを転写するために、EUVリソグラフィにおいて化学増幅レジスト(CAR)が使用されてきた。そのようなレジストは良好な感度を有するが、CARの解像度はパターン崩壊によって、より強く影響され、これはフィーチャサイズがナノメートルスケールに接近するにつれて益々重要になる。加えて、フィルム中の構成要素の不均一な分布に対して高い感受性を有するため、CARのアスペクト比(膜厚/限界寸法)を低下させることは難題である。その結果、CARでは画像性能が劣る傾向がある。
基板上に形成された1層以上の下地層上にパターンを転写するために、ネガ型EUVリソグラフィにおいて金属酸化物フォトレジスト使用されてきた。金属酸化物フォトレジストは、CARと比較して、非常に薄い膜厚の利点を提供し、パターン崩壊の危険性を最小限に抑える。金属酸化物フォトレジストを形成するために使用される従来のプロセスは、CARに対する有望な代替であるが、パターン現像のためにウェットプロセスを利用する。例えば、金属酸化物フォトレジストは、ネガ型フォトレジストプロセスにおいてウェット有機現像液を使用して現像され得る。ネガ型フォトレジストは、ライン/スペースフィーチャ及びブロックをパターニングするには適切であり得るが、ネガ型フォトレジストは、孔又はビアなどの他のフィーチャをパターニングするには満足のいくものではなかった。
したがって、EUV(又はより短波長)リソグラフィで使用される金属酸化物フォトレジストをパターニングするための改善されたプロセス及び方法の必要性が存在する。
極紫外線(EUV)又はより短波長のフォトレジストをパターニングするための改善されたプロセスフロー及び方法が本明細書で提供される。より具体的には、金属酸化物フォトレジストをパターニングするための改善されたプロセスフロー及び方法が本明細書で提供され、これは、基板上に形成された1層以上の下地層上にパターンを転写するために、EUV(又はより短波長)フォトリソグラフィにおいて使用できる。開示されたプロセスフロー及び方法では、金属酸化物フォトレジストを含むパターニング層が、基板上に設けられた1層以上の下地層上に形成され、パターニング層の上にあるマスクにより覆われていない、パターニング層の部分が、EUV光又はより短波長の光で露光される。EUV又はより短波長による露光が、金属酸化物フォトレジストの露光部分において、金属酸化物構造(例えば、ケージ又はチェーン)から有機リガンドを分離させる一方で、金属酸化物フォトレジストの非露光部分を不変のままにする。EUV又はより短波長による露光後、ベークプロセスを実施して、金属酸化物フォトレジストの露光部分から解放された有機リガンドを放出させ、プラズマプロセスを使用して露光部分を除去(例えば、エッチング)して、金属酸化物フォトレジストパターンが現像される。
本明細書に記載されるプラズマプロセスは、複数の堆積工程及びエッチング工程を使用して、金属酸化物フォトレジストパターンを現像できる。いくつかの実施形態では、堆積工程において炭化水素又はフルオロカーボン系プラズマを使用して、金属酸化物フォトレジストの非露光部分上に保護層(又はフィルム)を選択的に堆積させてもよい。エッチング工程中、水素又はハロゲン系プラズマを使用して、金属酸化物フォトレジストの露光部分の表面を揮発性材料(例えば、金属水素化物、ハロゲン化物、又は塩化物)に選択的に変換させることができ、揮発性材料は、例えばイオン衝撃により除去できる。金属酸化物フォトレジストの非露光部分上に選択的に堆積された保護層は、エッチング工程中に金属酸化物フォトレジストの露光部分が選択的にエッチングされている間に、非露光部分を侵食から保護する。いくつかの実施形態では、本明細書に記載されるプラズマ現像プロセスは、金属酸化物フォトレジストの露光部分が完全に除去されるまで選択的堆積工程及び選択的エッチング工程を繰り返す反復的な形で継続されてもよい。
一実施形態によれば、基板をパターニングする方法が提供される。この実施形態では、本方法は、基板上にパターニング層及び1層以上の下地層を形成することであって、パターニング層は、金属酸化物フォトレジストを含む、ことと、極紫外線(EUV)又はより短波長のリソグラフィ工程を実施することであって、上にあるマスクにより覆われていないパターニング層の部分がEUV光又はより短波長の光で露光される、ことと、を含んでもよい。加えて、本方法は、反復するドライプロセスを実施して、EUV光又はより短波長の光で露光されたパターニング層の部分を除去し、金属酸化物フォトレジストパターンを現像することを含んでもよい。
いくつかの実施形態では、反復するドライプロセスは、基板を第1のプラズマに曝露させることによりパターニング層の非露光部分上に保護層を選択的に堆積させることと、基板を第2のプラズマに曝露させることによりパターニング層の露光部分を選択的にエッチングすることと、パターニング層の露光部分が完全に除去されるまで選択的堆積及び選択的エッチングを繰り返すことと、を含んでもよい。パターニング層の非露光部分は、上にあるマスクにより覆われており、EUV光又はより短波長の光で露光されない部分である。これに対して、パターニング層の露光部分は、上にあるマスクにより覆われておらず、EUV光又はより短波長の光で露光される。
第1のプラズマ及び第2のプラズマは、多種多様な前駆体ガスを利用できる。いくつかの実施形態では、第1のプラズマは、炭化水素又はフルオロカーボン系前駆体ガスを含んでもよい。いくつかの実施形態では、第2のプラズマは、水素又はハロゲン含有前駆体ガスと、不活性ガスとを含んでもよい。
選択的エッチング工程が実施されるたびに、水素又はハロゲン含有前駆体ガスがパターニング層の露光部分の表面を揮発性材料に変換し、不活性ガスのイオンが基板の表面に衝突して、露光部分から揮発性材料を除去する。選択的堆積工程が実施されるたびに、パターニング層の非露光部分上に新しい保護層が堆積される。
別の実施形態によれば、基板をパターニングする別の方法が提供される。この実施形態では、基板上にパターニング層及び1層以上の下地層を形成することであって、パターニング層は金属酸化物フォトレジストを含む、ことと、パターニング層の上にあるマスクにより覆われていないパターニング層の部分を極紫外線(EUV)光又はより短波長の光で露光することと、を含んでもよい。加えて、本方法は、基板を第1のプラズマに曝露させることによりパターニング層の非露光部分上に保護層を選択的に堆積させることと、基板を第2のプラズマに曝露させることによりパターニング層の露光部分を選択的にエッチングすることと、パターニング層の露光部分が完全に除去されるまで選択的堆積及び選択的エッチング工程を繰り返すことと、を含んでもよい。
いくつかの実施形態では、パターニング層は金属酸化物材料を含んでもよく、金属酸化物材料は、化学結合した有機リガンドを有する金属酸化物構造のクラスタを含む。そのような実施形態では、パターニングされたマスク層により覆われていないパターニング層の部分を、EUV光又はより短波長の光で露光することが、金属酸化物構造から有機リガンドを分離させる一方で、パターニング層の非露光部分を不変のままにする。本方法は、パターニングされたマスク層により覆われていないパターニング層の部分を、EUV光又はより短波長の光で露光する後、且つパターニング層の非露光部分上への保護層の選択的堆積の前に、ベークプロセスを実施して、パターニング層の露光部分から有機リガンドを放出させることを更に含んでもよい。
上述したように、第1のプラズマ及び第2のプラズマは、多種多様な前駆体ガスを利用できる。いくつかの実施形態では、第1のプラズマは、炭化水素又はフルオロカーボン系前駆体ガスを含んでもよい。例えば、第1のプラズマは、CH、C、C又はCHFを含んでもよい。いくつかの実施形態では、第2のプラズマは、水素又はハロゲン含有前駆体ガスを含んでもよい。例えば、第2のプラズマは、CH、CF,CHF又はBClを含んでもよい。いくつかの実施形態では、第2のプラズマは、不活性ガスを更に含んでもよい。例えば、第2のプラズマは、アルゴン(Ar)を更に含んでもよい。第2のプラズマが、水素又はハロゲン含有前駆体ガスと不活性ガスとを含有する場合、水素又はハロゲン含有前駆体ガスは、パターニング層の露光部分の表面を揮発性材料に変換し、不活性ガスイオンが露光部分の表面に衝突して揮発性材料を除去する。
いくつかの実施形態では、パターニング層の非露光部分上に保護層を選択的に堆積させる工程と、パターニング層の露光部分を選択的にエッチングする工程とは、第1のプラズマ及び第2のプラズマを生成させるものと同じプラズマ前駆体ガスを使用して、プラズマ処理チャンバ内で同時に実施される。そのような実施形態では、例えば、第1のプラズマ及び第2のプラズマは、それぞれ、炭化水素前駆体及び不活性ガスを含んでもよい。
他の実施形態では、第1のプラズマ及び第2のプラズマを、異なるプラズマ前駆体ガスを使用して生成させるように、パターニング層の非露光部分上に保護層を選択的に堆積させる工程と、パターニング層の露光部分を選択的にエッチングする工程とは、プラズマ処理チャンバ内で離隔されている。このような実施形態では、例えば、第1のプラズマは、炭化水素前駆体を含んでもよく、第2のプラズマは、ハロカーボン前駆体及び不活性ガスを含んでもよい。
同様の参照番号が同様の特徴を示す添付の図面と併せて解釈される以下の説明を参照することにより、本発明及びその利点のより完全な理解を得ることができる。しかしながら、添付の図面は、開示される概念の例示的な実施形態のみを示すものであり、したがって、範囲を限定するものとはみなされず、開示される概念は、他の同等に有効な実施形態も許容することができることに留意されたい。
基板をパターニングするための、より具体的には、極紫外線(EUV)フォトレジストをパターニングするための、改善されたプロセスフローを示す。 基板をパターニングするための方法の一実施形態を示すフロー図である。 基板をパターニングするための方法の別の実施形態を示すフロー図である。 本明細書に記載される技術を使用して基板をパターニングするために使用できるプラズマ処理システムの一実施形態を表すブロック図である。
極紫外線(EUV)(又はより短波長)フォトレジストをパターニングするための改善されたプロセスフロー及び方法が本明細書で提供される。より具体的には、金属酸化物フォトレジストをパターニングするための改善されたプロセスフロー及び方法が本明細書で提供され、これは、基板上に形成された1層以上の下地層上にパターンを転写するために、EUV又はより短波長のフォトリソグラフィにおいて使用できる。本明細書で開示されるプロセスフロー及び方法は、スズ(Sn)、ハフニウム(Hf)及びジルコニウム(Zr)を含む金属酸化物を含むがこれらに限定されない多種多様な金属酸化物材料を利用できる。本明細書では、Sn、Hf又はZrを含有する金属酸化物材料が実施例として開示されているが、本明細書で開示されるプロセスフロー及び方法は、他の金属酸化物材料及び金属含有フォトレジストに拡張可能である。本明細書に記載されるように、EUV波長光を利用する例示的実施形態が論じられる。しかしながら、本明細書で利用される技術は、EUV波長に限定されない。更に、この技術は、特にEUV光又はより短波長の光に対して有利であり得る。したがって、本明細書におけるいくつかの実施例ではEUV波長に関して記載されているが、提供される技術は、EUV光又はより短波長の光にも適用可能な場合がある。
開示されたプロセスフロー及び方法では、金属酸化物フォトレジストを含むパターニング層が、基板上に設けられた1層以上の下地層上に形成され、光源とパターニング層との間のマスクにより保護されていないパターニング層の部分が、EUV光で露光される。EUV露光が、金属酸化物フォトレジストの露光部分において、金属酸化物構造(例えば、ケージ又はチェーン)から有機リガンドを分離させる一方で、金属酸化物フォトレジストの非露光部分を不変のままにする。EUV露光後、ベークプロセスを実施して、金属酸化物フォトレジストの露光部分から解放された有機リガンドを放出させ、プラズマプロセスを使用して露光部分を除去(例えば、エッチング)して、金属酸化物フォトレジストパターンが現像される。このようにして、金属酸化物フォトレジストのドライプラズマ現像が提供される。
本明細書に記載されるプラズマプロセスは、複数の堆積工程及びエッチング工程を使用して、金属酸化物フォトレジストパターンを現像できる。いくつかの実施形態では、堆積工程において炭化水素又はフルオロカーボン系プラズマを使用して、金属酸化物フォトレジストの非露光部分上に保護層(又はフィルム)を選択的に堆積させてもよい。エッチング工程中、水素又はハロゲン系プラズマを使用して、金属酸化物フォトレジストの露光部分の表面を揮発性材料(例えば、金属水素化物、ハロゲン化物、又は塩化物)に選択的に変換させることができ、揮発性材料は、例えばイオン衝撃により除去できる。金属酸化物フォトレジストの非露光部分上に選択的に堆積された保護層は、エッチング工程中に金属酸化物フォトレジストの露光部分が選択的にエッチングされている間に、非露光部分を侵食から保護する。いくつかの実施形態では、本明細書に記載されるプラズマ現像プロセスは、金属酸化物フォトレジストの露光部分が完全に除去されるまで選択的堆積工程及び選択的エッチング工程を繰り返す反復的な形で継続されてもよい。
それに応じて、進化したEUVパターニングのために、金属酸化物フォトレジスト用の新規なプラズマ現像プロセスが本明細書で開示される。プラズマ現像プロセスは、精密なプラズマプロセス制御により、分子/原子レベルでの選択的堆積及び選択的エッチングを可能にする。他のプラズマプロセスパラメータに加えて、プラズマ前駆体は、選択的エッチング工程において、EUV活性化領域(すなわち、金属酸化物フォトレジストの露光部分)の表面をより揮発性の高い材料(例えば、金属水素化物、ハロゲン又は塩化物)に選択的に変換し、選択的堆積工程において、非活性化領域(すなわち、金属酸化物フォトレジストの非露光部分)上に保護層を選択的に堆積させるように選択される。いくつかの実施形態では、本明細書で開示されるプラズマ処理工程は、堆積工程及びエッチング工程の両方に対して同じプラズマ前駆体を使用して、プラズマプロセスチャンバ内で同時に実施されてもよい。他の実施形態では、堆積工程及びエッチング工程を、異なるプラズマ前駆体を使用して実施できるように、プラズマ処理工程はプラズマプロセスチャンバ内で離隔されていてもよい。
図1A~図1Fは、本明細書で開示される技術による、EUV金属酸化物フォトレジストをパターニングするための改善されたプロセスフローの一実施形態を示す。図1A~図1Fに示す実施形態は単なる例示であり、本明細書に記載される技術は他のプロセスフローに適用されてもよいことが理解されるであろう。
図1Aに示すように、基板100は、例えばハードマスク層106、犠牲カーボン層104、及びベース基板102などの1層以上の下地層の上に形成された、パターニング層108を含む。ベース基板102は、パターニングされたフィーチャの使用が望まれる任意の基板であり得る。例えば、ベース基板102は、上に1層以上の半導体処理層が形成された半導体基板であり得る。一実施形態では、ベース基板102は、多種多様な構造及び層をもたらす複数の半導体処理工程が施された基板であってもよく、それら工程の全てが基板処理技術において知られている。
ハードマスク層106及び犠牲カーボン層104は、当技術分野で既知の多種多様な材料のいずれかから形成されてもよい。一実施形態では、ハードマスク層106はスピンオングラス(SOG)層であってもよく、犠牲カーボン層104はスピンオンカーボン(SOC)層であってもよい。しかしながら、記載され図示されている下層は単なる例示であり、より多くの下地層、より少ない下層、又は他の下地層が利用されてもよいことを理解されたい。
図1Aに示すパターニング層108は、EUVリソグラフィで一般に使用される多種多様な材料のいずれかから形成できる。例えば、パターニング層108は、金属酸化物フォトレジストであってもよい。いくつかの実施形態では、パターニング層108は、スズ(Sn)、ハフニウム(Hf)又はジルコニウム(Zr)を含有する金属酸化物材料を含んでもよい。パターニング層108を実現するために、他の金属酸化物材料も使用できる。いくつかの実施形態では、パターニング層108を実現するために、金属含有の非酸化物フォトレジスト材料が使用できる。パターニング層108は、一般に、多種多様な堆積プロセスのいずれかを使用して形成できる。いくつかの実施形態では、例えば、スピンコーティングプロセスを利用してパターニング層108を形成できる。しかしながら、本明細書に記載される技術は、パターニング層108を形成する方法に限定されない。
図1Aに示す例示的な実施形態では、パターニング層108は金属酸化物材料を含んでもよく、金属酸化物材料は、化学結合した有機リガンド(L)を有する金属酸化物構造(M-O)のクラスタを含む。以下でより詳細に説明するように、図1B~図1Cに示すプロセスフローは、パターニング層108の部分を極紫外線(EUV)光で露光して、有機リガンド(L)を金属酸化物構造(M-O)から分離又は解放させ、ベークプロセスを実施して、パターニング層108のEUV露光部分から解放されたリガンドを放出させる。いったん有機リガンドが解放されると、図1D~図1Fに示すように、反復するドライプロセスを使用して、パターニング層108のEUV露光部分を除去し、金属酸化物フォトレジストパターンを現像する。
図1Aにおいてパターニング層108が形成された後、図1Bにおいてパターニング層108の上方にマスク110が設けられ、EUVリソグラフィ工程が実施される。図1Bに示すEUVリソグラフィ工程中に、パターニング層108の露光部分114(すなわち、マスク110により保護されていないパターニング層108の部分)は、EUV光源112で露光される。図1Bに示すように、EUV露光が、パターニング層108の露光部分114内においてのみ金属酸化物構造(M-O)から有機リガンド(L)を分離させる一方で、パターニング層108の非露光部分116を不変のままにする。
図1BにおいてEUVリソグラフィ工程が実施された後、露光後ベーク(PEB)プロセスが実施されて、図1Cに示すように、解放されたリガンドがパターニング層108の露光部分114から放出され、露光部分114に密度の高い金属酸化物構造(M-O)だけが残る。PEBプロセスが実施された後、ドライプロセス(例えば、プラズマ現像プロセス)を使用して、パターニング層108の露光部分114を除去して、金属酸化物フォトレジストパターンを現像する。
図1D~図1Fは、本明細書に記載される技術に従って金属酸化物フォトレジストパターンを現像するために使用できるプラズマ現像プロセスの一実施形態を示す。以下でより詳細に説明するように、開示されたプラズマ現像プロセスは、一般に、複数の堆積工程及びエッチング工程を含み得る。いくつかの実施形態では、プラズマ現像プロセスは、図1Dに示すように、基板100を第1のプラズマ118に曝露させて、パターニング層108の非露光部分116上に保護層120を選択的に堆積させることにより始めることができる。保護層120が非露光部分116上に形成された後、図1Eに示すように、基板100は第2のプラズマ122に曝露されて、パターニング層108の露光部分114が選択的にエッチング又は除去される。保護層120は、パターニング層108の非露光部分116を侵食から保護する一方で、パターニング層108の露光部分114は、選択的エッチング工程中に選択的にエッチングされ除去される。いくつかの実施形態では、図1D及び図1Eに示すプラズマ現像プロセスは、選択的堆積工程及び選択的エッチング工程を複数回繰り返すことにより、及び/又は、図1Fに示すようにパターニング層108の露光部分114が完全に除去されるまで、反復する形で継続してもよい。
図1Dに示す選択的堆積工程では、各種プラズマ化学物質が使用できる。いくつかの実施形態では、第1のプラズマ118は、炭化水素又はフルオロカーボン系前駆体ガス化学物質を使用して、パターニング層108の非露光部分116上に保護層120を選択的に堆積させることができる。第1のプラズマ118中で使用できる炭化水素及びフルオロカーボン系化学物質の例は、CH、C、C又はCHFを含むが、これらに限定されない。図1Dに示す選択的堆積工程では、他の炭化水素又はフルオロカーボン系化学物質も使用できる。
図1Eに示す選択的エッチング工程では、各種プラズマ化学物質が使用できる。いくつかの実施形態では、第2のプラズマ122は、水素又はハロゲン含有前駆体ガス化学物質を使用して露光部分114の表面を揮発性材料(例えば、金属水素化物、ハロゲン化物又は塩化物)に変換することができ、不活性ガス(例えば、アルゴンなど)を使用して、揮発化された表面を、イオン衝撃を介して選択的にエッチング又は除去することができる。第2のプラズマ122中で使用できる水素又はハロゲン含有前駆体ガス化学物質の例としては、プラズマエッチングで一般的に使用される、炭化水素系化学物質(例えば、CH)、ハロカーボン系化学物質(例えば、CF、CHF)、及び他のハロゲン系化学物質(例えば、BCl)が挙げられるが、これらに限定されない。いくつかの実施形態では、炭化水素前駆体ガス及び不活性ガスの組合せを使用して、第2のプラズマ122を生成できる。他の実施形態では、第2のプラズマ122は、ハロカーボン、水素及び不活性ガスの組合せを含むことができる。
第2のプラズマ122中に含まれる水素(又はハロゲン)成分は、露光部分114内の金属酸化物材料の表面を、揮発性の金属水素化物、ハロゲン化物又は塩化物に変換することによりエッチングを容易にし、これらは、一実施形態ではイオン衝撃により除去される。いくつかの実施形態では、図1Eに示す選択的エッチング工程は、水素(又はハロゲン)含有前駆体ガスと不活性ガス(例えばアルゴン)とを含有するプラズマに基板100を曝露させることにより、単一工程として実施できる。他の実施形態では、選択的エッチング工程は、基板100をアルゴンプラズマに曝露させる前に、基板100を水素(又はハロゲン)系プラズマに曝露させる反復プロセスであってもよい。
本明細書では、例示的な一実施形態がアルゴン(Ar)を参照して記載されているが、図1Dに示す選択的エッチング工程では、他の不活性ガスイオンを使用して露光部分114の表面に衝撃を与えることもできる。例示的な不活性ガスは、He、Ne、Kr及び他の希ガスを含むが、これらに限定されない。更に、アルゴン及び/又は希ガスと組み合わせて、他のガスが利用できる。例えば、プラズマは、アルゴンガス又は希ガスだけを有することに限定されないので、プラズマに他のガスを添加することができる。例えば、他の不活性ガス、又は不活性ガスではない他のガスが、プロセスに追加されてもよい。
いくつかの実施形態では、図1D及び図1Eに示す選択的堆積工程及び選択的エッチング工程は、プラズマ処理チャンバ内で同時に実施されてもよく、又は代わりに、2つのプラズマ処理工程に離隔され、例えば1つ以上のパージ工程により分離されてもよい。一実施形態では、選択的堆積及びエッチング工程は、堆積及びエッチング工程の両方に対して同じプラズマ前駆体(例えば、CH)を使用してプラズマプロセスチャンバ内で同時に実施されてもよい。他の実施形態では、選択的堆積工程及びエッチング工程は、堆積工程及びエッチング工程において、異なるプラズマ前駆体を使用できるように、プラズマプロセスチャンバ内で離隔されていてもよい。例えば、選択的堆積及びエッチング工程は、プラズマプロセスチャンバ内で離隔されてもよく、その結果、堆積工程では、炭化水素前駆体(例えば、CH)が使用できる一方で、エッチング工程では、水素(H)、ハロカーボン系化学物質(例えば、CF又はCHF)、及びハロゲン系化学物質(例えば、BCl)が使用される。
図1D及び図1Eに示す選択的堆積及びエッチング工程は、反復プロセスとして実施されてもよく、反復プロセスは、パターニング層108の露光部分114が図1Fに示すように完全に除去されるまで、複数サイクルにわたり繰り返される。エッチング工程が実施されるたびに、非露光部分116上に形成された保護層120の一部又は全部が、露光部分114の揮発化された表面と共に除去され得る。一実施形態では、各サイクルの後、非常に薄い保護層が残る場合がある。その後の各堆積工程では、図1Fに示すように、非露光部分116の上面及び側面上に新しい保護層120が形成される。パターニング層108の下にあるハードマスク層106をエッチングすることを回避するために、本明細書に記載される選択的堆積及びエッチング工程で使用されるプラズマ化学物質は、一般に、ハードマスク層106に対して選択性を有してもよい。
ネガ型金属酸化物フォトレジストを現像するためにウェットプロセスを使用する従来のパターン現像プロセスと比較して、図1D~図1Fに示すプラズマ現像プロセスは、ポジ型フォトレジストのパターン現像のために反復するドライプロセスを使用する。ネガ型フォトレジストとは異なり、ポジ型フォトレジストは、狭い幾何学的形状のプロセスにおいて穴、ブロック及びライン/スペースのパターニングのために使用できる。パターン現像のために、反復するドライプロセスを利用することにより、本明細書に記載されるプラズマ現像プロセスは、表面反応の原子層制御を提供して、従来のウェットプロセスによるパターン現像と比較して、ラインエッジラフネス(LER)及び限界寸法(CD)の制御を改善する。本明細書に記載されるプラズマ現像プロセスはまた、従来のウェットプロセスによるパターン現像よりも、より清浄であり、コスト効率がより高い。
図2~図3は、本明細書に記載されるプラズマ現像プロセスを使用する、基板をパターニングする例示的な方法を示す。図2~図3の実施形態は単なる例示であり、追加の方法が、本明細書に記載される技術を利用してもよいことが理解されるであろう。更に、記載された処理工程は排他的であることを意図していないため、図2~図3に示す方法に、追加の工程を追加することができる。更には、工程の順序は、異なる順序が生じる場合があり、且つ/又は様々な工程が組合せで若しくは同時に実施されてもよいため、図に示す順序には限定されない。更に、図2~図3の方法はEUV光に関して記載されているが、EUV光又はより短波長の光に対して有利であり得ることが理解されるであろう。
図2は、本明細書で開示される技術を使用して基板をパターニングするために使用できる方法200の一実施形態を示す。いくつかの実施形態では、方法200は、基板上にパターニング層及び1層以上の下地層を形成することにより始めることができ、パターニング層は、金属酸化物フォトレジストを含む(工程210)。パターニング層が形成された後、方法200は、極紫外線(EUV)リソグラフィ工程を実施し、そのとき、上にあるマスクにより覆われていないパターニング層の部分がEUV光で露光される(工程220)。工程230において、方法200は、反復するドライプロセスを実施して、EUV光で露光されたパターニング層の部分を除去し、金属酸化物フォトレジストパターンを現像する。
図3は、本明細書で開示される技術を使用して基板をパターニングするために使用できる方法300の別の実施形態を示す。いくつかの実施形態では、方法300は、基板上にパターニング層及び1層以上の下地層を形成することにより始めることができ、パターニング層は、金属酸化物フォトレジストを含む(工程310)。パターニング層が形成された後、方法300は、パターニング層の上にあるマスクにより覆われていないパターニング層の部分を極紫外線(EUV)光で露光する(工程320)。工程330において、方法300は、基板を第1のプラズマに曝露させることにより、パターニング層の非露光部分上に保護層を選択的に堆積させる。パターニング層の非露光部分は、マスクにより覆われ、EUV光源で露光されない。工程340において、方法300は、基板を第2のプラズマに曝露させることにより、パターニング層の露光部分を選択的にエッチングする。工程350において、方法300は、パターニング層の露光部分が完全に除去されるまで、選択的に堆積すること及び選択的にエッチングすることを繰り返す。
図4は、開示技術に関して使用され得るプラズマ処理システム400の一例示的実施形態を提供し、説明の目的のためにのみ提供される。図4に示すプラズマ処理システム400は、容量結合プラズマ(CCP)処理装置であるが、本明細書に記載される技術は、誘導結合プラズマ処理(ICP)装置、マイクロ波プラズマ処理装置、ラジアルラインスロットアンテナ(RLSATM)マイクロ波プラズマ処理装置、電子サイクロトロン共鳴(ECR)プラズマ処理装置、又は他のタイプの処理システム若しくはシステムの組合せで実施され得ることを当業者は理解するであろう。したがって、本明細書に記載される技術は、多種多様なプラズマ処理システムのいずれかと共に利用され得ることが、当業者によって理解されるであろう。
プラズマ処理システム400は、エッチング、堆積、クリーニング、プラズマ重合、プラズマ強化化学蒸着法(PECVD)、原子層堆積法(ALD)、原子層エッチング法(ALE)などを含むがこれらに限定されない多種多様な作業に使用され得る。プラズマ処理システム400の構造は周知であり、本明細書に記載される特定の構造は例示を目的とするに過ぎない。本明細書に記載される技術を依然として活用しながら、異なる及び/又は追加のプラズマプロセスシステムが実現され得ることが理解されるであろう。
図4をより詳細に見ると、プラズマ処理システム400はプロセスチャンバ405を含み得る。当技術分野で周知のように、プロセスチャンバ405は圧力制御チャンバであり得る。基板410(一例では半導体ウェハー)がステージ又はチャック415上に保持され得る。図示するように、上部電極420及び下部電極425が設けられ得る。上部電極420は、第1の整合ネットワーク455を介して第1の無線周波数(RF)電源430に電気的に結合され得る。第1のRF電源430は、上部周波数(f)にて電源電圧435を供給し得る。下部電極425は、第2の整合ネットワーク457を介して第2のRF電源440に電気的に結合され得る。第2のRF電源440は、下部周波数(f)にてバイアス電圧445を供給し得る。図示されていないが、電圧がチャック415にも印加され得ることは当業者には周知である。
プラズマ処理システム400の構成要素は、制御ユニット470に接続され、制御ユニット470により制御され得る。制御ユニット470は、次に、対応するメモリストレージユニット及びユーザインタフェース(全て図示せず)に接続され得る。様々なプラズマ処理操作をユーザインタフェースを介して実行することができ、様々なプラズマ処理レシピ及び操作をストレージユニットに格納させることができる。したがって、所与の基板が、プラズマ処理チャンバ内で様々な微細加工技術を用いて処理され得る。制御ユニット470を、プラズマ処理システム400の様々な構成要素に連結させて、構成要素から入力を受け取り、構成要素に出力を提供できることが理解されるであろう。
制御ユニット470は、多種多様な手法で実装され得る。例えば、制御ユニット470はコンピュータであってもよい。別の例では、制御ユニットは、本明細書に記載される機能を提供するようにプログラムされた1つ以上のプログラマブル集積回路を含み得る。例えば、1つ以上のプロセッサ(例えば、マイクロプロセッサ、マイクロコントローラ、中央処理ユニットなど)、プログラマブルロジックデバイス(例えば、コンプレックスプログラマブルロジックデバイス(CPLD)、フィールドプログラマブルゲートアレイ(FPGA)など)、及び/又は他のプログラマブル集積回路を、ソフトウェア又は他のプログラミング命令でプログラムして、禁止された(proscribed)プラズマプロセスレシピの機能を実現できる。ソフトウェア又は他のプログラミング命令は、1つ以上の非一時的コンピュータ可読媒体(例えば、メモリストレージデバイス、FLASHメモリ、ダイナミックランダムアクセス(DRAM)メモリ、リプログラマブルストレージデバイス、ハードドライブ、フロッピーディスク、DVD、CD-ROMなど)に記憶されてもよいこと、及び、ソフトウェア又は他のプログラミング命令は、プログラマブル集積回路により実行されると、本明細書に記載されるプロセス、機能、及び/又は能力をプログラム可能集積回路に実行させることに更に留意されたい。他のバリエーションも実装され得る。
動作時、プラズマ処理システム400は、第1のRF電源430及び第2のRF電源440からシステムに電力を印加する場合、上部電極及び下部電極を使用して、プロセスチャンバ405内でプラズマ460を生成する。電力を印加すると、上部電極420と下部電極425との間に高周波電界が発生する。プロセスチャンバ405に送られた処理ガスは、次いで解離しプラズマ460に変換され得る。発生したプラズマ460は、プラズマ堆積、エッチング及び/又はイオン衝撃/スパッタリングなどであるがこれらに限定されない様々なタイプの処理においてターゲット基板(例えば、基板410又は処理される任意の材料)を処理するために使用できる。
いくつかの実施形態では、本明細書で開示される選択的堆積及びエッチング工程は、同じプラズマ460を使用して同時に実施されてもよい。例えば、炭化水素(例えばCH)系プラズマ460を利用して、非露光部分116上に保護層を選択的に堆積させ、パターニング層108の露光部分114を選択的にエッチングすることができる。他の実施形態では、本明細書で開示される選択的堆積及びエッチング工程は、例えば1つ以上のパージ工程によりプロセスチャンバ405内で離隔された異なるプラズマ460を使用してもよい。
図4に示すように、本明細書に記載される例示的なプラズマ処理システム400は、2つのRF電源を利用する。例示的な実施形態では、第1のRF電源430は、比較的高い周波数で電源電力を供給して、プロセスチャンバ405に送られた処理ガスをプラズマに変換し、プラズマ密度を制御する一方で、第2のRF電源440は、より低い周波数でバイアス電力を供給してイオン衝撃エネルギーを制御する。
1つの例示的なプラズマ処理システムでは、第1のRF電源430は、約0~1400Wの電源電力を、約3MHz~150MHz(又はそれを超える)の高周波(HF)範囲で上部電極420に供給してもよく、第2のRF電源440は、約0~1400Wのバイアス電力を、約0.2MHz~60MHzの低周波(LF)範囲で下部電極425に供給してもよい。プラズマ処理システムのタイプ、及び実施される処理のタイプ(例えば、エッチング、堆積、スパッタリングなど)に応じて、異なる動作範囲が使用され得る。
例示的な一実施形態では、図1Dに示す堆積工程で使用される第1のプラズマ118は、50W~1000Wの電源電力、0W~200Wのバイアス電力、10mT~200mTの圧力、0℃~150℃の静電チャック温度、50標準立方センチメートル(SCCM)のCHガスフロー、のプロセス条件で実施されてもよい。他のガス、例えばCHF、CHなども、ガスフローにおいて使用されてもよい。
例示的な一実施形態では、図1Eに示すエッチング工程で使用される第2のプラズマ122は、50W~1000Wの電源電力、0W~200Wのバイアス電力、10mT~200mTの圧力、10℃~150℃の静電チャック温度、20~100標準立方センチメートル(SCCM)のCHガスフロー、のプロセス条件で実施されてもよい。他のガス、例えば、Cl、BCl、不活性ガスなども、ガスフローにおいて使用されてもよい。いくつかの実施形態では、エッチング工程中でのイオン衝撃エネルギーを制御するために、バイアス電力が調整又は制御されてもよい。いくつかの実施形態では、別個の表面活性化/イオン衝撃工程が、100W~500Wの電源電力、0W~200Wのバイアス電力、10mT~200mTの圧力、10℃~200℃の静電チャック温度、800標準立方センチメートル(SCCM)のArガスフロー、のプロセス条件で実施されてもよい。他のガス、例えばHe、Ne、Krなども、ガスフローにおいて使用されてもよい。
本明細書に記載される技術は、広範囲のプラズマ処理システムにおいて利用されてもよいことに留意されたい。図4では特定のプラズマ処理システム400が示されているが、本明細書に記載される技術は、他のプラズマ処理システムにおいて利用されてもよいことが理解されるであろう。1つの例示的なシステムでは、図4に示すRF電源は交換されてもよい(例えば、より高い周波数が下部電極425に供給されてもよく、より低い周波数が上部電極420に供給されてもよい)。更に、図4では、デュアル電源システムが単に例示的なシステムとして示される。本明細書に記載される技術は、変調RF電源が1つ以上の電極に供給される、直流(DC)バイアス電源が利用される、又は他のシステム構成要素が利用される、他のプラズマ処理システムと共に利用されてもよいことが理解されるであろう。
様々な堆積プロセスを使用して、本明細書に記載される材料層のうちの1層以上を形成することができることに留意されたい。例えば、化学蒸着法(CVD)、プラズマ強化CVD(PECVD)、物理蒸着法(PVD)、原子層堆積法(ALD)、及び/又は他の堆積プロセスを使用して1層以上の堆積を実現できる。例示的なプラズマ堆積プロセスでは、炭化水素及びフルオロカーボンを含むがこれらに限定されない前駆体ガス混合物を、場合によっては、1種以上の希釈ガス(例えば、アルゴン、窒素等)と様々な圧力、電力、フロー、及び温度条件で組み合わせて使用することができる。
本明細書に示され記載される材料層のうちの1層以上をエッチングするために、様々なエッチングプロセスを使用できることに更に留意されたい。例えば、1つ以上のエッチングプロセスが、プラズマエッチングプロセス、放電エッチングプロセス、及び/又は他の所望のエッチングプロセスを使用して実現され得る。本明細書に記載されるプラズマエッチングプロセスは、水素、ハロカーボン及び他のハロゲン含有化学物質、アルゴン、及び/又は他のガス、を含有するプラズマを使用して実現できる。上述したように、本明細書に記載されるプラズマエッチングプロセスの1つ以上の動作パラメータ(例えば、バイアス電力)を調整して、エッチング工程中のイオン衝撃エネルギーを制御してもよい。
プロセス工程用の他の動作変数も調整して、本明細書に記載される様々な堆積及び/又はエッチングプロセスを制御することができる。動作変数は、例えば、チャンバ温度、チャンバ圧力、ガスの流量、ガスのタイプ、及び/又は処理工程用の他の動作変数を含み得る。本明細書に記載される技術を依然として活用しながら、変形形態を実現することもできる。
本明細書全体を通じて、「一実施形態(one embodiment)」又は「実施形態(an embodiment)」への言及は、その実施形態に関連して記載される特定の特徴、構造、材料、又は特性が本発明の少なくとも1つの実施形態に含まれることを意味するが、それらが全ての実施形態に存在することを示すものではないことに留意されたい。したがって、本明細書を通じた様々な箇所における「一実施形態では」又は「実施形態では」という語句の出現は、必ずしも本発明の同じ実施形態を指すわけではない。更には、特定の特徴、構造、材料又は特性は、1つ以上の実施形態において任意の好適な形態で組み合わされてもよい。別の実施形態では、様々な追加の層及び/又は構造が含まれてもよく、及び/又は、説明した特徴が省略されてもよい。
本明細書で使用する場合、用語「基板」は、その上に材料が形成されるベース材料又は構造を意味し且つこれらを含む。基板は、単一材料、様々な材料の複数の層、様々な材料又は様々な構造の領域を有する1層以上の層などを含み得ることが理解されるであろう。これらの材料は半導体、絶縁体、導体、又はそれらの組合せを含み得る。例えば、基板は、半導体基板、支持構造上のベース半導体層、金属電極、又は1つ以上の層、構造、若しくは領域がその上に形成された半導体基板であってもよい。基板は、半導電性材料の層を含む、従来のシリコン基板又は他のバルク基板であってもよい。本明細書で使用する場合、用語「バルク基板」は、シリコンウェハーだけでなく、シリコンオンサファイア(「SOS」)基板及びシリコンオンガラス(「SOG」)基板などのシリコンオンインシュレータ(「SOI」)基板、ベース半導体基板上のシリコンのエピタキシャル層、並びに、他の半導体又は光電子材料、例えばシリコンゲルマニウム、ゲルマニウム、ヒ化ガリウム、窒化ガリウム、及びリン化インジウム、をも意味し且つそれらを含む。基板は、ドープされていても、ドープされていなくてもよい。
基板を処理するためのシステム及び方法が、様々な実施形態で説明されている。基板は、デバイス、特に半導体又は他の電子デバイスの、任意の材料部分又は構造を含んでもよく、例えば、半導体基板などのベース基板構造であってもよく、又はベース基板構造上の若しくはその上を覆う層、例えば薄膜、であってもよい。したがって、基板は、パターニングされた又はパターニングされていない、任意の特定のベース構造、下地層又は上地層に限定することは意図されておらず、むしろ、任意のこのような層又はベース構造、並びに層及び/又はベース構造の任意の組合せを含むことが企図されている。
当業者であれば理解されるように、様々な実施形態が、具体的詳細のうちの1つ以上を伴わずに、或いは、他の代替的及び/若しくは追加的方法、材料又は構成要素を伴って、実施されてよい。他の例では、周知の構造、材料又は操作は、本発明の様々な実施形態の態様を曖昧にすることを避けるために、詳細には図示されていない又は説明されていない。同様に、本発明の完全な理解を提供するために、説明の目的で、具体的な数、材料、及び構成が記述される。それにもかかわらず、本発明は、具体的な詳細なしに実施されてもよい。更に、図面に示される様々な実施形態は例示的表現であり、必ずしも縮尺通りに描かれていないことを理解されたい。
本明細書を考慮すると、記載されたシステム及び方法の更なる修正形態及び代替的実施形態が当業者には明らかであろう。したがって、記載されるシステム及び方法は、これらの例示的な構成によって限定されるものではないことが理解されるであろう。本明細書に図示され記載されるシステム及び方法の形態は、例示的な実施形態と解釈されるべきであることが理解されるべきである。実装形態に様々な変更を施すことができる。したがって、本発明は、具体的な実施形態を参照して本明細書に記載されるが、様々な修正及び変更を、本発明の趣旨から逸脱することなく実施できる。それに応じて、本明細書及び図面は、限定的意味というよりも、むしろ例示的意味でみなされるべきであり、このような修正形態が本発明の範囲に含まれることが意図されている。更に、特定の実施形態に関して本明細書に記載されるいかなる便益、利点、又は課題に対する解決策も、特許請求の範囲のいずれかの又は全ての、重要な、必要な、又は必須の特徴又は要素として解釈されることは意図されていない。

Claims (20)

  1. 基板をパターニングするための方法であって、前記方法は、
    前記基板上にパターニング層及び1層以上の下地層を形成することであって、前記パターニング層は金属酸化物フォトレジストを含む、ことと、
    極紫外線(EUV)又はより短波長のリソグラフィ工程を実施することであって、上にあるマスクにより覆われていない前記パターニング層の部分がEUV光又はより短波長の光で露光される、ことと、
    反復するドライプロセスを実施して、前記EUV光又はより短波長の光で露光された前記パターニング層の前記部分を除去し、金属酸化物フォトレジストパターンを現像することと、を含む方法。
  2. 前記反復するドライプロセスは、
    前記基板を第1のプラズマに曝露させることにより、前記パターニング層の非露光部分上への保護層の選択的堆積を行うことであって、前記パターニング層の前記非露光部分は、上にある前記マスクにより覆われており、前記EUV光又はより短波長の光で露光されない、ことと、
    前記基板を第2のプラズマに曝露させることにより、前記パターニング層の露光部分の選択的エッチングを行うことであって、前記パターニング層の前記露光部分は、上にある前記マスクにより覆われておらず、前記EUV光又はより短波長の光で露光される、ことと、
    前記パターニング層の前記露光部分が完全に除去されるまで前記選択的堆積及び前記選択的エッチングを繰り返すことと、を含む、請求項1に記載の方法。
  3. 前記第1のプラズマは、炭化水素又はフルオロカーボン系前駆体ガスを含む、請求項2に記載の方法。
  4. 前記第2のプラズマは、水素又はハロゲン含有前駆体ガスと、不活性ガスとを含む、請求項2に記載の方法。
  5. 前記選択的エッチングの工程が実施されるたびに、前記水素又はハロゲン含有前駆体ガスが前記パターニング層の前記露光部分の表面を揮発性材料に変換し、前記不活性ガスのイオンが前記基板の表面に衝突して、前記露光部分から前記揮発性材料を除去する、請求項4に記載の方法。
  6. 前記選択的堆積の工程が実施されるたびに、前記パターニング層の前記非露光部分上に新しい保護層が堆積される、請求項5に記載の方法。
  7. 基板をパターニングするための方法であって、前記方法は、
    前記基板上にパターニング層及び1層以上の下地層を形成することであって、前記パターニング層は金属酸化物フォトレジストを含む、ことと、
    前記パターニング層の上にあるマスクにより覆われていない前記パターニング層の部分を極紫外線(EUV)光又はより短波長の光で露光することと、
    前記基板を第1のプラズマに曝露させることにより、前記パターニング層の非露光部分上への保護層の選択的堆積を行うことであって、前記パターニング層の前記非露光部分は、前記マスクにより覆われており、前記EUV光又はより短波長の光で露光されない、ことと、
    前記基板を第2のプラズマに曝露させることにより前記パターニング層の露光部分の選択的エッチングを行うことと、
    前記パターニング層の前記露光部分が完全に除去されるまで前記選択的堆積及び前記選択的エッチングを繰り返すことと、を含む方法。
  8. 前記パターニング層は、化学的に結合された有機リガンドを有する金属酸化物構造のクラスタを含む金属酸化物材料を含み、パターニングされたマスク層により覆われていない前記パターニング層の前記部分を、EUV光又はより短波長の光で露光することにより、前記金属酸化物構造から前記有機リガンドを分離させる一方で、前記パターニング層の前記非露光部分を不変のままにする、請求項7に記載の方法。
  9. 前記方法は、パターニングされた前記マスク層により覆われていない前記パターニング層の前記部分を、EUV光又はより短波長の光で露光する後、且つ前記パターニング層の前記非露光部分上への前記保護層の選択的堆積の前に、ベークプロセスを実施して、前記パターニング層の前記露光部分から前記有機リガンドを放出させることを更に含む、請求項8に記載の方法。
  10. 前記第1のプラズマは、炭化水素又はフルオロカーボン系前駆体ガスを含む、請求項7に記載の方法。
  11. 前記第1のプラズマは、CH、C、C、又はCHFを含む、請求項10に記載の方法。
  12. 前記第2のプラズマは、水素又はハロゲン含有前駆体ガスを含む、請求項7に記載の方法。
  13. 前記第2のプラズマは、CH、CF、CHF、又はBClを含む、請求項12に記載の方法。
  14. 前記第2のプラズマは不活性ガスを更に含む、請求項12に記載の方法。
  15. 前記第2のプラズマは、アルゴン(Ar)を更に含む、請求項8に記載の方法。
  16. 前記水素又はハロゲン含有前駆体ガスは、前記パターニング層の前記露光部分の表面を揮発性材料に変換し、不活性ガスイオンが前記露光部分の前記表面に衝突して前記揮発性材料を除去する、請求項12に記載の方法。
  17. 前記パターニング層の非露光部分上への保護層の前記選択的堆積と、前記パターニング層の露光部分の前記選択的エッチングは、前記第1のプラズマ及び前記第2のプラズマを生成させるプラズマ前駆体ガスと同じプラズマ前駆体ガスを使用して、プラズマ処理チャンバ内で同時に実施される、請求項7に記載の方法。
  18. 前記第1のプラズマ及び前記第2のプラズマは各々が、炭化水素前駆体及び不活性ガスを含む、請求項17に記載の方法。
  19. 前記パターニング層の非露光部分上への保護層の前記選択的堆積と、前記パターニング層の露光部分の前記選択的エッチングは、前記第1のプラズマ及び前記第2のプラズマを、異なるプラズマ前駆体ガスを使用して生成させるように、プラズマ処理チャンバ内で離隔されている、請求項7に記載の方法。
  20. 前記第1のプラズマは、炭化水素前駆体を含み、前記第2のプラズマは、ハロカーボン前駆体及び不活性ガスを含む、請求項19に記載の方法。
JP2023528544A 2020-11-13 2021-11-11 極紫外線(euv)レジストパターニング現像のための方法 Pending JP2023549608A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/097,921 2020-11-13
US17/097,921 US11079682B1 (en) 2020-11-13 2020-11-13 Methods for extreme ultraviolet (EUV) resist patterning development
PCT/US2021/058963 WO2022103949A1 (en) 2020-11-13 2021-11-11 Methods for extreme ultraviolet (euv) resist patterning development

Publications (1)

Publication Number Publication Date
JP2023549608A true JP2023549608A (ja) 2023-11-28

Family

ID=77063598

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023528544A Pending JP2023549608A (ja) 2020-11-13 2021-11-11 極紫外線(euv)レジストパターニング現像のための方法

Country Status (6)

Country Link
US (2) US11079682B1 (ja)
JP (1) JP2023549608A (ja)
KR (1) KR20230101906A (ja)
CN (1) CN116830243A (ja)
TW (1) TW202234140A (ja)
WO (1) WO2022103949A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240027900A1 (en) * 2022-07-22 2024-01-25 Tokyo Electron Limited Acid for Reactive Development of Metal Oxide Resists
US20240045337A1 (en) * 2022-08-03 2024-02-08 Tokyo Electron Limited Metal Oxide Resists for EUV Patterning and Methods for Developing the Same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity

Also Published As

Publication number Publication date
TW202234140A (zh) 2022-09-01
WO2022103949A1 (en) 2022-05-19
US11079682B1 (en) 2021-08-03
CN116830243A (zh) 2023-09-29
US20230341781A1 (en) 2023-10-26
KR20230101906A (ko) 2023-07-06

Similar Documents

Publication Publication Date Title
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
JP4971978B2 (ja) ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
JP5634664B2 (ja) 限界寸法収縮の制御されたエッチングプロセス
JP2017199909A (ja) Aleおよび選択的蒸着を用いた基板のエッチング
KR20100059843A (ko) 마스크 트리밍
US11022878B2 (en) Critical dimension uniformity
KR20100074119A (ko) Arl 에칭을 이용한 마스크 트리밍
JP2023549608A (ja) 極紫外線(euv)レジストパターニング現像のための方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
TWI445080B (zh) Manufacturing method of semiconductor device
JP6630935B2 (ja) マイクロエレクトロニクス基板上のドライハードマスク除去のための方法
JP4522892B2 (ja) 微細パターン形成方法
TWI773767B (zh) 使用硫基化學品之電漿蝕刻含矽有機膜的方法
TW201947635A (zh) 圖案化層之循環式蝕刻的方法
US11342195B1 (en) Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
JP6415636B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
CN107785252B (zh) 双重图形化的方法
JP2007059666A (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP2024506838A (ja) 周期的プラズマエッチングプロセス
KR100607760B1 (ko) 반도체 소자의 식각 챔버 클리닝 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230713