KR20230101906A - 극자외선(euv) 레지스트 패터닝 현상 방법 - Google Patents

극자외선(euv) 레지스트 패터닝 현상 방법 Download PDF

Info

Publication number
KR20230101906A
KR20230101906A KR1020237019733A KR20237019733A KR20230101906A KR 20230101906 A KR20230101906 A KR 20230101906A KR 1020237019733 A KR1020237019733 A KR 1020237019733A KR 20237019733 A KR20237019733 A KR 20237019733A KR 20230101906 A KR20230101906 A KR 20230101906A
Authority
KR
South Korea
Prior art keywords
plasma
patterning layer
exposed portion
layer
patterning
Prior art date
Application number
KR1020237019733A
Other languages
English (en)
Inventor
윤 한
피터 벤트젝
알록 란잔
Original Assignee
도쿄엘렉트론가부시키가이샤
도쿄 일렉트론 유.에스. 홀딩스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 도쿄 일렉트론 유.에스. 홀딩스, 인크. filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20230101906A publication Critical patent/KR20230101906A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Analytical Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

금속-산화물 포토레지스트와 같은 극자외선(EUV)(또는 저파장) 포토레지스트를 패터닝하기 위한 방법이 본원에 제공된다. 금속-산화물 포토레지스트를 포함하는 패터닝 층이 기판 상에 제공된 하나 이상의 하부 층 상에 형성되고, 패터닝 층 위에 놓인 마스크에 의해서 덮이지 않은 패터닝 층의 부분은 EUV 또는 저파장 광에 노광된다. 주기적인 건식 프로세스를 후속 실시하여, EUV 또는 저파장 광에 노광된 패터닝 층의 부분(즉, 노광 부분)을 제거하고 금속-산화물 포토레지스트 패턴을 현상한다. 주기적 건식 프로세스는 일반적으로 복수의 증착 및 에칭 단계를 포함하고, 증착 단계는 기판을 제1 플라즈마에 노출시키는 것에 의해서 보호 층을 패터닝 층의 비-노광 부분 상에 선택적으로 증착시키고, 에칭 단계는 기판을 제2 플라즈마에 출시키는 것에 의해서 패터닝 층의 노광 부분을 선택적으로 에칭한다.

Description

극자외선(EUV) 레지스트 패터닝 현상 방법
참조에 의한 포함
본 개시 내용은, 2020년 11월 13일자로 출원된 미국 정규출원 제17/097,921호의 이익을 주장하며, 그 전체 내용이 본원에 참조로 포함된다.
본 개시 내용은 기판의 프로세싱에 관한 것이다. 특히, 본 개시 내용은 EUV(또는 저파장) 포토레지스트 패터닝을 위한 신규 시스템 및 방법을 제공한다.
피쳐(feature) 크기를 줄이기 위해서, 극자외선(EUV) 리소그래피를 이용한 패터닝이 프로세싱 시스템에 도입되었다. EUV 리소그래피는 일반적으로 파장이 6 내지 16 나노미터(nm) 이하인 광을 이용한다. 예를 들어, 7 nm 이하 노드의 첨단 반도체 소자 제조 시 EUV 패터닝 기술이 생산에 도입되었다. 피처 크기는 줄어들었지만, EUV 패터닝에서 패턴 성능 문제가 발생하였다.
화학 증폭형 레지스트(CAR)를 EUV 리소그래피에서 이용하여, 패턴을 기판 상에 형성된 하나 이상의 하부 층에 전사하였다. 비록 그러한 레지스트가 양호한 선택비를 갖지만, CAR의 해상도는 패턴 붕괴의 영향을 크게 받으며, 이러한 해상도는 피쳐 크기가 나노미터 스케일에 접근함에 따라 점점 더 중요해지고 있다. 또한, 필름 내 구성요소의 불균일한 분포에 대한 민감도가 높기 때문에, CAR의 종횡비(필름 두께/임계 치수)를 줄이는 것은 어렵다. 결과적으로, CAR를 이용할 때 양호하지 못한 이미징 성능이 얻어지는 경향이 있다.
또한, 금속-산화물 포토레지스트를 네거티브 톤(negative tone) EUV 리소그래피에서 이용하여, 패턴을 기판 상에 형성된 하나 이상의 하부 층에 전사하였다. CAR와 비교할 때, 금속-산화물 포토레지스트는 매우 얇은 필름 두께의 장점을 제공하고 패턴 붕괴 위험을 최소화한다. CAR에 대한 유망한 대안이지만, 금속-산화물 포토레지스트를 형성하기 위해서 이용되는 통상적인 프로세스는 패턴 현상을 위해서 습식 프로세스를 이용한다. 예를 들어, 금속-산화물 포토레지스트는 네거티브 톤 포토레지스트 프로세스에서 습식 유기 현상제를 이용하여 현상될 수 있다. 네거티브 톤 포토레지스트가 라인/공간 피쳐 및 블록을 패터닝하기에 적절할 수 있지만, 네거티브 톤 포토레지스트는 홀 또는 비아와 같은 다른 피쳐의 패터닝에서 만족스럽지 못하다.
따라서, EUV(또는 저파장) 리소그래피에서 사용되는 금속-산화물 포토레지스트를 패터닝하기 위한 개선된 프로세스 및 방법이 필요하다.
극자외선(EUV) 또는 저파장 포토레지스트를 패터닝하기 위한 개선된 프로세스 흐름 및 방법이 본원에 제공된다. 보다 구체적으로, 개선된 프로세스 흐름 및 방법이 금속-산화물 포토레지스트를 패터닝하기 위해서 본원에 제공되고, 이는 패턴을 기판 상에 형성된 하나 이상의 하부 층 상으로 전사하기 위해서 EUV(또는 저파장) 리소그래피에서 이용될 수 있다. 개시된 프로세스 흐름 및 방법에서, 금속-산화물 포토레지스트를 포함하는 패터닝 층이 기판 상에 제공된 하나 이상의 하부 층 상에 형성되고, 패터닝 층 위에 놓인 마스크에 의해서 덮이지 않은 패터닝 층의 부분은 EUV 또는 저파장 광에 노광된다. EUV 또는 저파장 노광이 금속-산화물 포토레지스트의 노광 부분 내에서 유기 리간드를 금속-산화물 구조물(예를 들어, 케이지 또는 체인)으로부터 분리하는 한편, 금속-산화물 포토레지스트의 비-노광 부분은 변화 없이 유지된다. EUV 또는 저파장 노광 후에, 베이크 프로세스(bake process)를 수행하여, 금속-산화물 포토레지스트의 노광 부분으로부터 유리된(freed) 유기 리간드를 방출하고, 플라즈마 프로세스를 이용하여 노광 부분을 제거(예를 들어, 에칭)함으로써 금속-산화물 포토레지스트 패턴을 현상한다.
본원에 설명된 플라즈마 프로세스는 금속-산화물 포토레지스트 패턴을 현상하기 위해서 복수의 증착 및 에칭 단계를 이용할 수 있다. 일부 실시형태에서, 탄화수소 또는 플루오로카본계 플라즈마를 증착 단계에서 이용하여, 보호 층(또는 필름)을 금속-산화물 포토레지스트의 비-노광 부분 상에 선택적으로 증착시킬 수 있다. 에칭 단계 중에, 수소 또는 할로겐계 플라즈마를 이용하여, 금속-산화물 포토레지스트의 노광 부분의 표면을 휘발성 물질(예를 들어, 금속 수소화물, 할로겐화물 또는 염화물)로 선택적으로 변환할 수 있고, 이는 예를 들어 이온 충격을 통해서 제거될 수 있다. 금속-산화물 포토레지스트의 비-노광 부분 상에 선택적으로 증착된 보호 층은 비-노광 부분을 침식으로부터 보호하는 한편, 금속-산화물 포토레지스트의 노광 부분은 에칭 단계 중에 선택적으로 에칭된다. 일부 실시형태에서, 금속-산화물 포토레지스트의 노광 부분이 완전히 제거될 때까지, 본원에 설명된 플라즈마 현상 프로세스가 주기적인 방식으로 계속될 수 있고, 그에 따라 선택적인 증착 및 선택적인 에칭 단계를 반복할 수 있다.
일 실시형태에 따라, 기판을 패터닝하는 방법이 제공된다. 이러한 실시형태에서, 방법은 패터닝 층 및 하나 이상의 하부 층을 기판 상에 형성하는 단계로서, 패터닝 층은 금속-산화물 포토레지스트를 포함하는, 단계, 및 상부 마스크에 의해서 덮이지 않은 패터닝 층의 부분을 EUV 또는 저파장 광에 노광시키는 극자외선(EUV) 또는 저파장 리소그래피를 수행하는 단계를 포함할 수 있다. 또한, 방법은, EUV 또는 저파장 광에 노광된 패터닝 층의 부분을 제거하고 금속-산화물 포토레지스트 패턴을 현상하기 위해서, 주기적인 건식 프로세스를 수행하는 단계를 포함할 수 있다.
일부 실시형태에서, 주기적 건식 프로세스는 기판을 제1 플라즈마에 노출시키는 것에 의해서 보호 층을 패터닝 층의 비-노광 부분 상에 선택적으로 증착시키는 단계, 기판을 제2 플라즈마에 노출시키는 것에 의해서 패터닝 층의 노광 부분을 선택적으로 에칭하는 단계, 및 패터닝 층의 노광 부분이 완전히 제거될 때까지 선택적인 증착 및 선택적인 에칭을 반복하는 단계를 포함할 수 있다. 패터닝 층의 비-노광 부분은, 상부 마스크에 의해서 덮이고 EUV 또는 저파장 광에 노광되지 않는 부분이다. 다른 한편으로, 패터닝 층의 노광 부분은 상부 마스크에 의해서 덮이지 않고 EUV 또는 저파장 광에 노광된다.
제1 플라즈마 및 제2 플라즈마는 매우 다양한 전구체 가스(들)를 이용할 수 있다. 일부 실시형태에서, 제1 플라즈마는 탄화수소 또는 플루오로카본계 전구체 가스를 포함할 수 있다. 일부 실시형태에서, 제2 플라즈마는 수소 또는 할로겐 함유 전구체 가스 및 불활성 가스를 포함할 수 있다.
선택적 에칭 단계가 수행될 때마다, 수소 또는 할로겐 함유 전구체 가스는 패터닝 층의 노광 부분의 표면을 휘발성 물질로 변환하고, 불활성 가스의 이온이 기판의 표면을 충격하여 휘발성 물질을 노광 부분으로부터 제거한다. 선택적 증착 단계가 수행될 때마다, 새로운 보호 층이 패터닝 층의 비-노광 부분 상에 증착된다.
다른 실시형태에 따라, 기판을 패터닝하는 다른 방법이 제공된다. 이러한 실시형태에서, 방법은 패터닝 층 및 하나 이상의 하부 층을 기판 상에 형성하는 단계로서, 패터닝 층은 금속-산화물 포토레지스트를 포함하는, 단계, 및 패터닝 층 위의 마스크에 의해서 덮이지 않은 패터닝 층의 노광 부분을 극자외선(EUV) 또는 저파장 광에 노광시키는 단계를 포함할 수 있다. 또한, 방법은 기판을 제1 플라즈마에 노출시키는 것에 의해서 보호 층을 패터닝 층의 비-노광 부분 상에 선택적으로 증착시키는 단계, 기판을 제2 플라즈마에 노출시키는 것에 의해서 패터닝 층의 노광 부분을 선택적으로 에칭하는 단계, 및 패터닝 층의 노광 부분이 완전히 제거될 때까지 선택적인 증착 및 선택적인 에칭을 반복하는 단계를 포함할 수 있다.
일부 실시형태에서, 패터닝 층은, 화학적으로 결합된 유기 리간드를 갖는 금속-산화물 구조물의 클러스터(cluster)를 포함하는, 금속-산화물 물질을 포함할 수 있다. 그러한 실시형태에서, 패터닝된 마스크 층에 의해서 덮이지 않은 패터닝 층의 부분을 EUV 또는 저파장 광에 노광시키는 것은 유기 리간드를 금속-산화물 구조물로부터 분리하는 한편, 패터닝 층의 비-노광 부분은 변화 없이 유지된다. 패터닝된 마스크 층에 의해서 덮이지 않은 패터닝 층의 부분을 EUV 또는 저파장 광에 노광시킨 후에 그리고 보호 층을 패터닝 층의 비-노광 부분 상에 선택적으로 증착시키기 전에, 방법은 베이크 프로세스를 수행하여 유기 리간드를 패터닝 층의 노광 부분으로부터 방출하는 단계를 추가로 포함할 수 있다.
전술한 바와 같이, 제1 플라즈마 및 제2 플라즈마는 매우 다양한 전구체 가스(들)를 이용할 수 있다. 일부 실시형태에서, 제1 플라즈마는 탄화수소 또는 플루오로카본계 전구체 가스를 포함할 수 있다. 예를 들어, 제1 플라즈마는 CH4, C4F8, C4F6 또는 CH3F를 포함할 수 있다. 일부 실시형태에서, 제2 플라즈마는 수소 또는 할로겐 함유 전구체 가스를 포함할 수 있다. 예를 들어, 제2 플라즈마는 CH4, CF4, CHF3 또는 BCl3을 포함할 수 있다. 일부 실시형태에서, 제2 플라즈마는 불활성 가스를 추가로 포함할 수 있다. 예를 들어, 제2 플라즈마는 아르곤(Ar)을 추가로 포함할 수 있다. 제2 플라즈마가 수소 또는 할로겐 함유 전구체 가스 및 불활성 가스를 포함할 때, 수소 또는 할로겐 함유 전구체 가스는 패터닝 층의 노광 부분의 표면을 휘발성 물질로 변환하고, 불활성 가스 이온은 노광 부분의 표면을 충격하여 휘발성 물질을 제거한다.
일부 실시형태에서, 보호 층을 패터닝 층의 비-노광 부분 상으로 선택적으로 증착시키고 패터닝 층의 노광 부분을 선택적으로 에칭하는 단계는, 제1 플라즈마 및 제2 플라즈마를 생성하기 위한 동일한 플라즈마 전구체 가스를 이용하여 플라즈마 프로세싱 챔버 내에서 동시에 수행된다. 그러한 실시형태에서, 예를 들어, 제1 플라즈마 및 제2 플라즈마는 탄화수소 전구체 및 불활성 가스를 각각 포함할 수 있다.
다른 실시형태에서, 보호 층을 패터닝 층의 비-노광 부분 상으로 선택적으로 증착시키고 패터닝 층의 노광 부분을 선택적으로 에칭하는 단계가 플라즈마 프로세싱 챔버 내에서 분리되고, 그에 따라 상이한 플라즈마 전구체 가스들을 이용하여 제1 플라즈마 및 제2 플라즈마를 생성한다. 그러한 실시형태에서, 예를 들어, 제1 플라즈마는 탄화수소 전구체를 포함할 수 있고, 제2 플라즈마는 할로카본 전구체 및 불활성 가스를 포함할 수 있다.
첨부 도면과 함께 이루어지는 이하의 설명을 참조함으로써, 본 발명 및 그 장점에 대한 보다 완전한 이해가 이루어질 수 있을 것이며, 도면에서 동일한 참조 부호는 동일한 특징을 나타낸다. 그러나, 첨부된 도면은 단지 개시된 개념의 예시적인 실시형태만을 도시한 것이고, 따라서, 개시된 개념이 다른 마찬가지로 유효한 실시형태를 포함할 수 있기 때문에, 그 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 유의해야 한다.
도 1a 내지 도 1f는 기판을 패터닝하기 위한, 보다 구체적으로는 극자외선(EUV) 포토레지스트를 패터닝하기 위한 개선된 프로세스 흐름을 도시한다.
도 2는 기판을 패터닝하기 위한 방법의 일 실시형태를 도시하는 흐름도이다.
도 3은 기판을 패터닝하기 위한 방법의 다른 실시형태를 도시하는 흐름도이다.
도 4는 본원에 설명된 기술을 이용하여 기판을 패터닝하기 위해서 이용될 수 있는 플라즈마 프로세싱 시스템의 일 실시형태를 도시하는 블록도이다.
극자외선(EUV) 또는 저파장 포토레지스트를 패터닝하기 위한 개선된 프로세스 흐름 및 방법이 본원에 제공된다. 보다 구체적으로는, 개선된 프로세스 흐름 및 방법이 금속-산화물 포토레지스트를 패터닝하기 위해서 본원에 제공되고, 이는 패턴을 기판 상에 형성된 하나 이상의 하부 층 상으로 전사하기 위해서 EUV(또는 저파장) 리소그래피에서 이용될 수 있다. 본원에 개시된 프로세스 흐름 및 방법은, 비제한적으로 주석(Sn), 하프늄(Hf) 및 지르코늄(Zr)을 포함하는 금속-산화물을 포함하는, 매우 다양한 금속-산화물 물질을 이용할 수 있다. Sn, Hf 또는 Zr을 함유하는 금속-산화물 물질이 본원에서 예로서 개시되어 있지만, 본원에 개시된 프로세스 흐름 및 방법은 다른 금속-산화물 물질 및 금속-함유 포토레지스트로 확장될 수 있다. 본원에 설명된 바와 같이, EUV 파장 광을 이용하는 예시적인 실시형태에 대해서 논의한다. 그러나, 본원에서 사용되는 기술은 EUV 파장으로 제한되지 않는다. 또한, 그러한 기술은 EUV 또는 저파장의 광에서 특히 유리할 수 있다. 따라서, 본원의 일부 예에서 EUV 파장과 관련하여 설명되지만, 제공되는 기술은 EUV 또는 저파장의 광에도 적용될 수 있다.
개시된 프로세스 흐름 및 방법에서, 금속-산화물 포토레지스트를 포함하는 패터닝 층이 기판 상에 제공된 하나 이상의 하부 층 상에 형성되고, 광원과 패터닝 층 사이의 마스크에 의해서 보호되지 않는 패터닝 층의 부분은 EUV 광에 노광된다. EUV 노광은 금속-산화물 포토레지스트의 노광 부분 내에서 유기 리간드를 금속-산화물 구조물(예를 들어, 케이지 또는 체인)으로부터 분리하는 한편, 금속-산화물 포토레지스트의 비-노광 부분은 변화 없이 유지된다. EUV 노광 후에, 베이크 프로세스를 수행하여, 금속-산화물 포토레지스트의 노광 부분으로부터 유리된 유기 리간드를 방출하고, 플라즈마 프로세스를 이용하여 노광 부분을 제거(예를 들어, 에칭)함으로써 금속-산화물 포토레지스트 패턴을 현상한다. 이러한 방식으로, 금속-산화물 포토레지스트의 건식 플라즈마 현상이 제공된다.
본원에 설명된 플라즈마 프로세스는 금속-산화물 포토레지스트 패턴을 현상하기 위해서 복수의 증착 및 에칭 단계를 이용할 수 있다. 일부 실시형태에서, 탄화수소 또는 플루오로카본계 플라즈마를 증착 단계에서 이용하여, 보호 층(또는 필름)을 금속-산화물 포토레지스트의 비-노광 부분 상에 선택적으로 증착시킬 수 있다. 에칭 단계 중에, 수소 또는 할로겐계 플라즈마를 이용하여, 금속-산화물 포토레지스트의 노광 부분의 표면을 휘발성 물질(예를 들어, 금속 수소화물, 할로겐화물 또는 염화물)로 선택적으로 변환할 수 있고, 이는 예를 들어 이온 충격을 통해서 제거될 수 있다. 금속-산화물 포토레지스트의 비-노광 부분 상에 선택적으로 증착된 보호 층은 비-노광 부분을 침식으로부터 보호하는 한편, 금속-산화물 포토레지스트의 노광 부분은 에칭 단계 중에 선택적으로 에칭된다. 일부 실시형태에서, 금속-산화물 포토레지스트의 노광 부분이 완전히 제거될 때까지, 본원에 설명된 플라즈마 현상 프로세스가 주기적인 방식으로 계속될 수 있고, 그에 따라 선택적인 증착 및 선택적인 에칭 단계를 반복할 수 있다.
따라서, 첨단 EUV 패터닝을 위한 금속-산화물 포토레지스트를 위한 신규 플라즈마 현상 프로세스가 본원에 개시된다. 플라즈마 현상 프로세스는 정밀 플라즈마 프로세스 제어를 통해서 분자/원자 레벨의 선택적인 증착 및 선택적인 에칭을 가능하게 한다. 다른 플라즈마 프로세스 매개변수에 더하여, 플라즈마 전구체는 선택적 에칭 단계에서 EUV 활성 영역(즉, 금속-산화물 포토레지스트의 노광 부분)의 표면을 더 큰 휘발성의 물질(예를 들어, 금속 수소화물, 할로겐 또는 염화물)로 선택적으로 변환하고, 선택적 증착 단계에서 보호 층을 비-활성 영역(즉, 금속-산화물 포토레지스트의 비-노광 부분)에 선택적으로 증착하도록 선택된다. 일부 실시형태에서, 본원에 개시된 플라즈마 프로세싱 단계들은, 증착 및 에칭 단계들 모두를 위한 동일한 플라즈마 전구체를 이용하여 플라즈마 프로세스 챔버 내에서 동시에 수행될 수 있다. 다른 실시형태에서, 플라즈마 프로세싱 단계들은 플라즈마 프로세스 챔버 내에서 분리될 수 있고, 그에 따라 상이한 플라즈마 전구체들을 이용하여 증착 및 에칭 단계를 수행할 수 있다.
도 1a 내지 도 1f는 본원에 개시된 기술에 따른 EUV 금속-산화물 포토레지스트를 패터닝하기 위한 개선된 프로세스 흐름의 일 실시형태를 도시한다. 도 1a 내지 도 1f에 도시된 실시형태는 단지 예시적인 것이고, 본원에 설명된 기술이 다른 프로세스 흐름에 적용될 수 있다는 것을 이해할 수 있을 것이다.
도 1a에 도시된 바와 같이, 기판(100), 예를 들어 하드 마크스 층(106), 희생 탄소 층(104), 및 기부 기판(102)과 같은 하나 이상의 하부 층 위에 형성된 패터닝 층(108)을 포함한다. 기부 기판(102)은, 패터닝된 피쳐의 이용이 요구되는 임의의 기판일 수 있다. 예를 들어, 기부 기판(102)은 하나 이상의 반도체 프로세싱 층이 형성된 반도체 기판일 수 있다. 일 실시형태에서, 기부 기판(102)은, 매우 다양한 구조물 및 층을 생성하는 다수의 반도체 프로세싱 단계들이 적용된 기판일 수 있고, 그러한 단계 모두는 기판 프로세싱 분야에서 알려져 있다.
하드 마크스 층(106) 및 희생 탄소 층(104)은, 당업계에 알려진, 매우 다양한 재료 중 임의의 재료로 형성될 수 있다. 일 실시형태에서, 하드 마크스 층(106)은 스핀 온 글래스(SOG) 층일 수 있고, 희생 탄소 층(104)은 스핀 온 카본(SOC) 층일 수 있다. 그러나, 설명되고 도면에 도시된 하부 층이 단지 예시적인 것이고, 더 많거나, 더 적거나, 다른 하부 층이 이용될 수 있다는 것을 이해할 수 있을 것이다.
도 1a에 도시된 패터닝 층(108)은 EUV 리소그래피에서 일반적으로 이용되는 매우 다양한 재료 중 임의의 재료로 형성될 수 있다. 예를 들어, 패터닝 층(108)은 금속-산화물 포토레지스트일 수 있다. 일부 실시형태에서, 패터닝 층(108)은 주석(Sn), 하프늄(Hf) 또는 지르코늄(Zr)을 함유하는 금속-산화물 물질을 포함할 수 있다. 다른 금속-산화물 물질이 또한 패터닝 층(108)의 구현을 위해서 이용될 수 있다. 일부 실시형태에서, 금속-함유, 비-산화물 포토레지스트 물질이 패터닝 층(108)의 구현을 위해서 이용될 수 있다. 패터닝 층(108)은 일반적으로 매우 다양한 증착 프로세스 중 임의의 프로세스를 이용하여 형성될 수 있다. 일부 실시형태에서, 예를 들어, 스핀 코팅 프로세스를 이용하여 패터닝 층(108)을 형성할 수 있다. 그러나, 본원에 설명된 기술은 그러한 패터닝 층(108)의 형성 방법으로 제한되지 않는다.
도 1a에 도시된 예시적인 실시형태에서, 패터닝 층(108)은, 화학적으로 결합된 유기 리간드(L)를 갖는 금속-산화물 구조물(M-O)의 클러스터를 포함하는, 금속-산화물 물질을 포함한다. 이하에서 보다 구체적으로 설명되는 바와 같이, 도 1b 및 도 1c에 도시된 프로세스 흐름은 패터닝 층(108)의 일부를 극자외선(EUV) 광에 노광시켜, 유기 리간드(L)를 금속-산화물 구조물(M-O)로부터 분리 또는 유리시키며, 베이크 프로세스를 수행하여 유리된 리간드를 패터닝 층(108)의 EUV 노광 부분으로부터 방출시킨다. 유기 리간드가 유리되면, 도 1d 내지 도 1f에 도시된 바와 같이, 주기적 건식 프로세스를 이용하여 패터닝 층(108)의 EUV 노광 부분을 제거하고 금속-산화물 포토레지스트 패턴을 현상한다.
패터닝 층(108)이 도 1a에서 형성된 후에, 마스크(110)가 패터닝 층(108) 위에 제공되고, EUV 리소그래피 단계가 도 1b에서 수행된다. 도 1b에 도시된 EUV 리소그래피 단계 중에, 패터닝 층(108)의 노광 부분(114)(즉, 마스크(110)에 의해서 보호되지 않는 패터닝 층(108)의 부분)이 EUV 광(112)에 노광된다. 도 1b에 도시된 바와 같이, EUV 노광은, 패터닝 층(108)의 노광 부분(114)에서만, 유기 리간드(L)를 금속-산화물 구조물(M-O)로부터 분리하는 한편, 패터닝 층(108)의 비-노광 부분(116)은 변화 없이 유지된다.
도 1b에서 EUV 리소그래피 단계가 수행된 후에, 도 1c에 도시된 바와 같이, 노광 후 베이크(PEB) 프로세스를 수행하여 유리 리간드를 패터닝 층(108)의 노광 부분(114)으로부터 방출하여 노광 부분(114) 내의 조밀한 금속-산화물 구조물(M-O)만을 남긴다. PEB 프로세스가 수행된 후에, 건식 프로세스(예를 들어, 플라즈마 현상 프로세스)를 이용하여 패터닝 층(108)의 노광 부분(114)을 제거함으로써, 금속-산화물 포토레지스트 패턴을 현상한다.
도 1d 내지 도 1f는, 본원에 설명된 기술에 따라 금속-산화물 포토레지스트 패턴을 현상하기 위해서 이용될 수 있는 플라즈마 현상 프로세스의 일 실시형태를 도시한다. 이하에서 보다 구체적으로 설명되는 바와 같이, 개시된 플라즈마 현상 프로세스는 일반적으로 복수의 증착 및 에칭 단계를 포함할 수 있다. 일부 실시형태에서, 플라즈마 현상 프로세스는, 도 1d에 도시된 바와 같이, 기판(100)을 제1 플라즈마(118)에 노출시켜 보호 층(120)을 패터닝 층(108)의 비-노광 부분(116) 상에 선택적으로 증착시키는 것에 의해서 시작될 수 있다. 보호 층(120)이 비-노광 부분(116)에 형성된 후에, 기판(100)을 제2 플라즈마(122)에 노출시켜, 도 1e에 도시된 바와 같이, 패터닝 층(108)의 노광 부분(114)을 선택적으로 에칭 또는 제거한다. 보호 층(120)은 패터닝 층(108)의 비-노광 부분(116)을 침식으로부터 보호하는 한편, 패터닝 층(108)의 노광 부분(114)은 선택적인 에칭 단계 중에 선택적으로 에칭 또는 제거된다. 일부 실시형태에서, 도 1d 및 도 1e에 도시된 플라즈마 현상 프로세스는, 도 1f에 도시된 바와 같이, 패터닝 층(108)의 노광 부분(114)이 완전히 제거될 때까지 선택적인 증착 및 선택적인 에칭 단계를 많은 수의 주기로 반복하는 것에 의해서, 주기적인 방식으로 계속될 수 있다.
다양한 플라즈마 화학물질이 도 1d에 도시된 선택적 증착 단계에서 사용될 수 있다. 일부 실시형태에서, 제1 플라즈마(118)는 탄화수소 또는 플루오로카본계 전구체 가스 화학물질을 이용하여, 보호 층(120)을 패터닝 층(108)의 비-노광 부분(116) 상에 선택적으로 증착시킬 수 있다. 제1 플라즈마(118)에서 이용될 수 있는 탄화수소 또는 플루오로카본계 화학물질의 예는, 비제한적으로, CH4, C4F8, C4F6 또는 CH3F를 포함한다. 다른 탄화수소 또는 플루오로카본계 화학물질이 또한 도 1d에 도시된 선택적 증착 단계에서 사용될 수 있다.
다양한 플라즈마 화학물질이 또한 도 1e에 도시된 선택적 에칭 단계에서 사용될 수 있다. 일부 실시형태에서, 제2 플라즈마(122)는 수소 또는 할로겐 함유 전구체 가스 화학 물질을 이용하여 노광 부분(114)의 표면을 휘발성 물질(예를 들어, 금속 수소화물, 할로겐화물 또는 염화물)로 변환할 수 있고, (예를 들어, 아르곤과 같은) 불활성 가스를 이용하여, 이온 충격을 통해, 휘발된 표면을 선택적으로 에칭 또는 제거할 수 있다. 제2 플라즈마(122)에서 사용될 수 있는 수소 또는 할로겐 함유 전구체 가스 화학물질의 예는, 비제한적으로, 플라즈마 에칭에서 일반적으로 이용되는 탄화수소(예를 들어, CH4), 할로카본(예를 들어, CF4, CHF3) 및 다른 할로겐계 화학물질(예를 들어, BCl3)을 포함한다. 일부 실시형태에서, 탄화수소 전구체 가스 및 불활성 가스의 조합이 제2 플라즈마(122)의 생성을 위해서 사용될 수 있다. 다른 실시형태에서, 제2 플라즈마(122)는 할로카본, 수소 및 불활성 가스 조합을 포함할 수 있다.
제2 플라즈마(122)에 포함된 수소(또는 할로겐) 성분은, 노광 부분(114) 내의 금속 산화물 물질의 표면을, 일 실시형태에서 이온 충격을 통해서 제거되는, 휘발된 금속 수소화물, 할로겐화물 또는 염화물로 변환하는 것에 의해서, 에칭을 촉진한다. 일부 실시형태에서, 도 1e에 도시된 선택적 에칭 단계는, 기판(100)을 수소(또는 할로겐) 함유 전구체 가스 및 불활성 가스(예를 들어, 아르곤)를 포함하는 플라즈마에 노출시키는 것에 의해서, 하나의 단계로 수행될 수 있다. 다른 실시형태에서, 선택적 에칭 단계는, 기판(100)을 아르곤 플라즈마에 노출시키기 전에, 기판(100)을 수소계(또는 할로겐계) 플라즈마에 노출시키는 주기적 프로세스일 수 있다.
하나의 예시적인 실시형태가 아르곤(Ar)과 관련하여 본원에 설명되지만, 도 1d에 도시된 선택적 에칭 단계에서 다른 불활성 가스 이온을 또한 이용하여 노광 부분(114)의 표면을 충격할 수 있다. 예시적인 불활성 가스는 비제한적으로 He, Ne, Kr 및 다른 희가스를 포함한다. 또한, 다른 가스가 아르곤 및/또는 희가스와 조합되어 이용될 수 있다. 예를 들어, 플라즈마가 아르곤 또는 희가스만을 가지는 것으로 제한되지 않기 때문에, 다른 가스가 플라즈마에 첨가될 수 있다. 예를 들어, 다른 불활성 가스 또는 불활성 가스가 아닌 다른 가스가 프로세스에 첨가될 수 있다.
일부 실시형태에서, 도 1d 및 도 1e에 도시된 선택적 증착 및 선택적 에칭 단계가 플라즈마 프로세싱 챔버 내에서 동시에 수행될 수 있거나, 대안적으로, 2개의 플라즈마 프로세싱 단계들로 분리될 수 있고 예를 들어 하나 이상의 퍼지 단계가 개재될 수 있다. 일 실시형태에서, 선택적 증착 및 에칭 단계들이 증착 및 에칭 단계들 모두를 위한 동일한 플라즈마 전구체(예를 들어, CH4)를 이용하여 플라즈마 프로세스 챔버 내에서 동시에 수행될 수 있다. 다른 실시형태에서, 선택적 증착 및 에칭 단계들이 플라즈마 프로세스 챔버 내에서 분리될 수 있고, 그에 따라 상이한 플라즈마 전구체들을 이용하여 증착 및 에칭 단계를 수행할 수 있다. 예를 들어, 선택적 증착 및 에칭 단계가 플라즈마 프로세스 챔버 내에서 분리될 수 있고, 그에 따라 탄화수소 전구체(예를 들어, CH4)가 증착 단계에서 사용될 수 있는 한편, 수소(H2), 할로카본(예를 들어, CF4 또는 CHF3) 및 할로겐계 화학물질(예를 들어, BCl3)이 에칭 단계에서 사용된다.
도 1d 및 도 1e에 도시된 선택적 증착 및 에칭 단계는, 도 1f에 도시된 바와 같이 패터닝 층(108)의 노광 부분(114)이 완전히 제거될 때까지 많은 수의 주기로 반복되는, 주기적 프로세스로서 수행될 수 있다. 에칭 단계가 수행될 때마다, 비-노광 부분(116)에 형성된 보호 층(120)의 일부 또는 전부가 노광 부분(114)의 휘발된 표면과 함께 제거될 수 있다. 일 실시형태에서, 매우 얇은 보호 층이 에칭 주기 후에 유지될 수 있다. 각각의 후속 증착 단계에서, 도 1f에 도시된 바와 같이, 새로운 보호 층(120)이 비-노광 부분(116)의 상단부 및 측면에 형성된다. 패터닝 층(108) 아래의 하드 마크스 층(106)의 에칭을 피하기 위해서, 본원에 설명된 선택적 증착 및 에칭 단계에서 사용되는 플라즈마 화학물질은 일반적으로 하드 마크스 층(106)에 대해서 선택적일 수 있다.
네거티브 톤의 금속-산화물 포토레지스트를 현상하기 위해서 습식 프로세스를 이용하는 통상적인 패턴 현상 프로세스에 비해서, 도 1d 내지 도 1f에 도시된 플라즈마 현상 프로세스는 포지티브 톤 포토레지스트의 패턴 현상을 위해서 주기적인 건식 프로세스를 이용한다. 네거티브 톤 포토레지스트와 달리, 포지티브 톤 포토레지스트는 좁은 기하형태의 프로세스에서 홀, 블록 및 라인/공간을 패터닝하기 위해서 이용될 수 있다. 패턴 현상을 위해서 주기적 건식 프로세스를 이용하는 것에 의해서, 본원에 설명된 플라즈마 현상 프로세스는 표면 반응의 원자 층 제어를 제공하고, 통상적인 습식 프로세스 패턴 현상에 비해서 라인 연부 조도(line edge roughness)(LER) 및 임계 치수(CD) 제어를 개선한다. 본원에 설명된 플라즈마 현상 프로세스는 또한 통상적인 습식 프로세스 패턴 현상보다 더 청정하고 더 비용 효율적이다.
도 2 및 도 3은 본원에 설명된 플라즈마 현상 프로세스를 이용하는 예시적인 기판 패터닝 방법을 도시한다. 도 2 및 도 3의 실시형태가 단지 예시적인 것이고 부가적인 방법이 본원에 설명된 기술을 이용할 수 있다는 것을 이해할 수 있을 것이다. 또한, 설명된 단계들이 배타적인 것으로 의도되지 않았기 때문에, 부가적인 프로세싱 단계들이 도 2 및 도 3에 도시된 방법에 부가될 수 있다. 또한, 단계들의 순서는 도면에 도시된 순서로 제한되지 않는데, 이는 상이한 순서들이 발생될 수 있고/있거나 다양한 단계들이 조합되어 또는 동시에 실시될 수 있기 때문이다. 또한, EUV 광과 관련하여 설명되지만, 도 2 및 도 3의 방법이 EUV 또는 저파장의 광에서 유리할 수 있다는 것을 이해할 수 있을 것이다.
도 2는 본원에 개시된 기술을 이용하여 기판을 패터닝하기 위해서 이용될 수 있는 방법(200)의 일 실시형태를 도시한다. 일부 실시형태에서, 방법(200)은 패터닝 층 및 하나 이상의 하부 층을 기판 상에 형성하는 것에 의해서 시작될 수 있고, 패터닝 층은 금속-산화물 포토레지스트를 포함한다(단계(210)). 패터닝 층이 형성된 후에, 방법(200)은 극자외선(EUV) 리소그래피 단계를 수행하고, 상부 마스크에 의해서 덮이지 않은 패터닝 층의 부분은 EUV 광에 노광된다(단계(220)). 단계(230)에서, 방법(200)은, EUV 광에 노광된 패터닝 층의 부분을 제거하고 금속-산화물 포토레지스트 패턴을 현상하기 위해서, 주기적인 건식 프로세스를 수행한다.
도 3은 본원에 개시된 기술을 이용하여 기판을 패터닝하기 위해서 이용될 수 있는 방법(300)의 다른 실시형태를 도시한다. 일부 실시형태에서, 방법(300)은 패터닝 층 및 하나 이상의 하부 층을 기판 상에 형성하는 것에 의해서 시작될 수 있고, 패터닝 층은 금속-산화물 포토레지스트를 포함한다(단계(310)). 패터닝 층이 형성된 후에, 방법(300)은 패터닝 층 위의 마스크에 의해서 덮이지 않은 패터닝 층의 부분을 극자외선(EUV)광에 노광시킨다(단계(320)). 단계(330)에서, 방법(300)은 기판을 제1 플라즈마에 노출시키는 것에 의해서 보호 층을 패터닝 층의 비-노광 부분 상에 선택적으로 증착시킨다. 패터닝 층의 비-노광 부분은 마스크에 의해서 덮이고 EUV 광에 노광되지 않는다. 단계(340)에서, 방법(300)은 기판을 제2 플라즈마에 노출시키는 것에 의해서 패터닝 층의 노광 부분을 선택적으로 에칭한다. 단계(350)에서, 방법(300)은, 패터닝 층의 노광 부분이 완전히 제거될 때까지, 선택적인 증착 및 선택적인 에칭을 반복한다.
도 4는, 개시된 기술과 관련하여 사용될 수 있고 단지 예시를 위해서 제공된, 플라즈마 프로세싱 시스템(400)에 대한 하나의 예시적 실시형태를 제공한다. 도 4에 도시된 플라즈마 프로세싱 시스템(400)이 용량 결합 플라즈마(CCP) 프로세싱 장치이지만, 당업자는 본원에 설명된 기술이 유도 결합 플라즈마(ICP) 프로세싱 장치, 마이크로파 플라즈마 프로세싱 장치, 방사형 라인 슬롯 안테나(RLSATM) 마이크로파 플라즈마 프로세싱 장치, 전자 사이클로트론 공명(ECR) 플라즈마 프로세싱 장치, 또는 다른 유형의 프로세싱 시스템 또는 시스템의 조합에서 수행될 수 있음을 이해할 수 있을 것이다. 따라서, 당업자는, 본원에 설명된 기술이 매우 다양한 플라즈마 프로세싱 시스템 중 임의의 시스템과 함께 이용될 수 있다는 것을 이해할 수 있을 것이다.
플라즈마 프로세싱 시스템(400)은, 비제한적으로, 에칭, 증착, 세정, 플라즈마 중합, 플라즈마 강화 화학 기상 증착(PECVD), 원자층 증착(ALD), 원자층 에칭(ALE) 등을 포함하는 매우 다양한 동작을 위해서 이용될 수 있다. 플라즈마 프로세싱 시스템(400)의 구조는 잘 알려져 있고, 본원에 제공된 특정 구조는 단지 예시를 위한 것이다. 본원에 설명된 기술을 여전히 이용하면서, 상이한 및/또는 부가적인 플라즈마 프로세싱 시스템을 구현할 수 있다는 것을 이해할 수 있을 것이다.
도 4를 보다 구체적으로 참조하면, 플라즈마 프로세싱 시스템(400)은 프로세스 챔버(405)를 포함할 수 있다. 당업계에 알려져 있는 바와 같이, 프로세스 챔버(405)는 압력 제어 챔버일 수 있다. 기판(410)(일 예에서, 반도체 웨이퍼)이 스테이지 또는 척(415) 상에서 유지될 수 있다. 상부 전극(420) 및 하부 전극(425)이 도시된 바와 같이 제공될 수 있다. 상부 전극(420)은 제1 매칭 네트워크(455)를 통해서 제1 무선 주파수(RF) 공급원(430)에 전기적으로 커플링될 수 있다. 제1 RF 공급원(430)은 상위 주파수(fU)의 공급원 전압(435)을 제공할 수 있다. 하부 전극(425)은 제2 매칭 네트워크(457)를 통해서 제2 RF 공급원(440)에 전기적으로 커플링될 수 있다. 제2 RF 공급원(440)은 하위 주파수(fL)의 바이어스 전압(445)을 제공할 수 있다. 도시하지는 않았지만, 당업자는 전압이 또한 척(415)에 인가될 수 있다는 것을 알 수 있을 것이다.
플라즈마 프로세싱 시스템(400)의 구성요소들이 제어 유닛(470)에 연결되어 그에 의해서 제어될 수 있고, 제어 유닛은 이어서 상응하는 메모리 저장 유닛 및 사용자 인터페이스(모두 미도시)에 연결될 수 있다. 다양한 플라즈마 프로세싱 동작이 사용자 인터페이스를 통해서 실행될 수 있고, 다양한 플라즈마 프로세싱 레시피 및 동작이 저장 유닛에 저장될 수 있다. 따라서, 주어진 기판이 다양한 미세제조 기술로 플라즈마 프로세싱 챔버 내에서 프로세스될 수 있다. 제어 유닛(470)이 플라즈마 프로세싱 시스템(400)의 여러 구성요소에 커플링되어 구성요소로부터 입력을 수신할 수 있고 구성요소로 출력을 제공할 수 있다는 것을 이해할 수 있을 것이다.
제어 유닛(470)은 매우 다양한 방식으로 구현될 수 있다. 예를 들어, 제어 유닛(470)은 컴퓨터일 수 있다. 다른 예에서, 제어 유닛은, 본원에 설명된 기능을 제공하도록 프로그래밍되는 하나 이상의 프로그래밍 가능 집적 회로를 포함할 수 있다. 예를 들어, 하나 이상의 프로세서(예를 들어, 마이크로프로세서, 마이크로제어기, 중앙처리유닛 등), 프로그래밍 가능 논리 소자(예를 들어, 복합 프로그래밍 가능 논리 소자(CPLD)), 필드 프로그래밍 가능 게이트 어레이(FPGA) 등, 및/또는 기타 프로그래밍 가능 집적 회로가 소프트웨어 또는 기타 프로그래밍 명령어로 프로그래밍되어, 설명된 플라즈마 프로세스 레시피의 기능을 구현할 수 있다. 또한, 소프트웨어 또는 다른 프로그래밍 명령어가 하나 이상의 비-일시적 컴퓨터-판독 가능 매체(예를 들어, 메모리 저장 장치, 플래시 메모리, 동적 랜덤 액세스(DRAM) 메모리, 재프로그래밍 가능 저장 장치, 하드 드라이브, 플로피 디스크, DVD, CD-ROM 등)에 저장될 수 있고, 이러한 소프트웨어 또는 다른 프로그래밍 명령어는, 프로그래밍 가능 집적 회로에 의해 실행될 때, 프로그래밍 가능 집적 회로가 본원에 설명된 프로세스, 기능 및/또는 능력을 수행하게 한다는 것에 또한 주목하여야 한다. 다른 변형예가 또한 구현될 수 있다.
동작 시에, 전력이 제1 RF 공급원(430) 및 제2 RF 공급원(440)으로부터 시스템에 인가될 때, 플라즈마 프로세싱 시스템(400)은 상부 및 하부 전극을 이용하여 플라즈마(460)를 프로세스 챔버(405) 내에서 생성한다. 전력의 인가로 인해 상부 전극(420)과 하부 전극(425) 사이에 고주파 전기장이 생성된다. 이어서, 프로세스 챔버(405)에 전달되는 프로세싱 가스(들)가 분해되고 플라즈마(460)로 변환된다. 생성된 플라즈마(460)는, 비제한적으로, 플라즈마 증착, 에칭 및/또는 이온 충격/스퍼터링과 같은 다양한 유형의 처리에서 표적 기판(예를 들어, 프로세스되는 기판(410) 또는 임의의 재료)을 프로세스하기 위해서 이용될 수 있다.
일부 실시형태에서, 본원에 개시된 선택적인 증착 및 에칭 단계는 동일한 플라즈마(460)를 이용하여 동시에 수행될 수 있다. 예를 들어, 탄화수소(예를 들어, CH4)계 플라즈마(460)를 이용하여 보호 층을 패터닝 층(108)의 비-노광 부분(116) 상에 선택적으로 증착시킬 수 있고 노광 부분(114)을 선택적으로 에칭할 수 있다. 다른 실시형태에서, 본원에 개시된 선택적인 증착 및 에칭 단계는, 프로세스 챔버(405) 내에서 예를 들어 하나 이상의 퍼지 단계에 의해서 분리되는, 상이한 플라즈마들(460)을 이용할 수 있다.
도 4에 도시된 바와 같이, 본원에 설명된 예시적인 플라즈마 프로세싱 시스템(400)은 2개의 RF 공급원을 이용한다. 예시적인 실시형태에서, 제1 RF 공급원(430)은 비교적 고주파수의 공급원 전력을 제공하여 프로세스 챔버(405) 내로 전달된 프로세싱 가스(들)를 플라즈마로 변환하고 플라즈마 밀도를 제어하는 한편, 제2 RF 공급원(440)은 저주파수의 바이어스 전력을 제공하여 이온 충격 에너지를 제어한다.
플라즈마 프로세싱 시스템의 하나의 예에서, 제1 RF 공급원(430)은 상부 전극(420)에 약 3 MHz 내지 150 MHz(또는 그 초과)의 고주파수(HF) 범위의 약 0 내지 1400 W의 공급원 전력을 제공할 수 있고, 제2 RF 공급원(440)은 하부 전극(425)에 약 0.2 MHz 내지 60 MHz의 저주파수(LF) 범위의 약 0 내지 1400 W의 바이어스 전력을 제공할 수 있다. 플라즈마 프로세싱 시스템의 유형 및 그 내부에서 수행되는 처리의 유형(예를 들어, 에칭, 증착, 스퍼터링 등)에 따라, 상이한 동작 범위들이 또한 이용될 수 있다.
하나의 예시적인 실시형태에서, 도 1d에 도시된 증착 단계에서 사용되는 제1 플라즈마(118)는 50 W 내지 1000 W의 공급원 전력, 0 W 내지 200 W의 바이어스 전력, 10 mT 내지 200 mT의 압력, 0℃ 내지 150℃의 정전기 척 온도, 및 50 SCCM(standard cubic centimeter)의 CH4 가스 유동의 프로세스 조건으로 수행될 수 있다. 예를 들어 CH3F, CH2F2, 등과 같은 다른 가스가 또한 가스 유동에서 사용될 수 있다.
하나의 예시적인 실시형태에서, 도 1e에 도시된 에칭 단계에서 사용되는 제2 플라즈마(122)는 50 W 내지 1000 W의 공급원 전력, 0 W 내지 200 W의 바이어스 전력, 10 mT 내지 200 mT의 압력, 0℃ 내지 150℃의 정전기 척 온도, 및 20 내지 100 SCCM(standard cubic centimeter)의 CH4 가스 유동의 프로세스 조건으로 수행될 수 있다. 예를 들어 Cl2, BCl3, 불활성 가스 등과 같은 다른 가스가 또한 가스 유동에서 사용될 수 있다. 일부 실시형태에서, 바이어스 전력을 조정 또는 제어하여 에칭 단계 중의 이온 충격 에너지를 제어할 수 있다. 일부 실시형태에서, 별도의 표면 활성화/이온 충격 단계가 100 W 내지 500 W의 공급원 전력, 0 W 내지 200 W의 바이어스 전력, 10 mT 내지 200 mT의 압력, 10℃ 내지 200℃의 정전기 척 온도, 및 800 SCCM(standard cubic centimeter)의 Ar 가스 유동의 프로세스 조건으로 수행될 수 있다. 예를 들어 He, Ne, Kr 등과 같은 다른 가스가 또한 가스 유동에서 사용될 수 있다.
본원에 설명된 기술이 넓은 범위의 플라즈마 프로세싱 시스템에서 사용될 수 있다는 것에 유의하여야 한다. 특정 플라즈마 프로세싱 시스템(400)이 도 4에 도시되어 있지만, 본원에 설명된 기술이 다른 플라즈마 프로세싱 시스템에서 이용될 수 있다는 것을 이해할 수 있을 것이다. 하나의 예시적인 시스템에서, 도 4에 도시된 RF 공급원들이 스위칭될 수 있다(예를 들어, 더 높은 주파수가 하부 전극(425)에 공급될 수 있고 더 낮은 주파수가 상부 전극(420)에 공급될 수 있다). 또한, 이중 공급원 시스템이 단지 예시적인 시스템으로서 도 4에 도시되어 있다. 본원에 설명된 기술이, 변조 RF 전력 공급원이 하나 이상의 전극에 제공되거나, 직류(DC) 바이어스 공급원이 이용되거나, 다른 시스템 구성요소가 이용되는, 다른 플라즈마 프로세싱 시스템과 함께 이용될 수 있다는 것을 이해할 수 있을 것이다.
다양한 증착 프로세스를 이용하여 본원에서 도시되고 설명된 물질 층 중 하나 이상을 형성할 수 있다는 것에 주목하여야 한다. 예를 들어, 하나 이상의 증착은 화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 물리적 기상 증착(PVD), 원자층 증착(ALD), 및/또는 다른 증착 프로세스를 이용하여 구현될 수 있다. 하나의 예시적인 플라즈마 증착 프로세스에서, 다양한 압력, 전력, 유동, 및 온도 조건에서 하나 이상의 희석 가스(예를 들어, 아르곤, 질소 등)와 조합될 수 있는, 비제한적으로 탄화수소 및 플루오로카본을 포함하는, 전구체 가스 혼합물이 이용될 수 있다.
다양한 에칭 프로세스를 이용하여 본원에서 도시되고 설명된 물질 층 중 하나 이상을 에칭할 수 있다는 것에 또한 유의하여야 한다. 예를 들어, 하나 이상의 에칭 프로세스가 플라즈마 에칭 프로세스, 방전 에칭 프로세스, 및/또는 다른 바람직한 에칭 프로세스를 이용하여 구현될 수 있다. 본원에 설명된 플라즈마 에칭 프로세스는 화학물질, 아르곤, 및/또는 다른 가스를 포함하는 수소, 할로카본, 및 기타 할로겐을 함유하는 플라즈마를 이용하여 구현될 수 있다. 앞서 주목한 바와 같이, 본원에 설명된 플라즈마 에칭 프로세스의 하나 이상의 동작 매개변수(예를 들어, 바이어스 전력)를 조정하여 에칭 단계 중의 이온 충격 에너지를 제어할 수 있다.
프로세스 단계를 위한 다른 동작 변수를 또한 조정하여, 본원에 설명된 다양한 증착 및/또는 에칭 프로세스를 제어할 수 있다. 동작 변수는, 예를 들어, 프로세싱 단계를 위한 챔버 온도, 챔버 압력, 가스의 유량, 가스의 유형, 및/또는 다른 동작 변수를 포함할 수 있다. 변수는 또한, 본원에 설명된 기술을 여전히 이용하면서, 구현될 수 있다.
본 명세서 전반에 걸쳐, "일 실시형태" 또는 "실시형태"에 관한 언급은, 실시형태와 관련하여 설명된 구체적인 특징, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함된다는 것을 의미하나, 그러한 것이 모든 실시형태에 존재한다는 것을 나타내는 것은 아니라는 점에 유의하여야 한다. 따라서, 본 명세서 전반의 여러 장소에서의 "일 실시형태에서" 또는 "실시형태에서"라는 문구의 출현 모두가 반드시 본 발명의 동일한 실시형태를 언급하는 것은 아니다. 또한, 구체적인 특징, 구조, 재료, 또는 특성은 하나 이상의 실시형태에서 임의의 적절한 방식으로 조합될 수 있다. 여러 부가적인 층 및/또는 구조물이 포함될 수 있고/있거나 설명된 특징이 다른 실시형태에서 생략될 수 있다.
본원에서 사용된 바와 같은 용어 "기판"은 재료가 그 위에 형성되는 기재 재료 또는 구성물을 의미하고 포함한다. 기판이 단일 재료, 상이한 재료들의 복수의 층, 내부에서 상이한 재료들 또는 상이한 구조물들의 영역을 갖는 층 또는 층들 등을 포함할 수 있음을 이해할 것이다. 이러한 재료는 반도체, 절연체, 전도체, 또는 이들의 조합물을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조물 상의 기부 반도체 층, 금속 전극, 또는 하나 이상의 층, 구조물 또는 영역이 그 위에 형성된 반도체 기판일 수 있다. 기판은 통상적인 규소 기판, 또는 반-전도성 재료의 층을 포함하는 다른 벌크 기판일 수 있다. 본원에서 사용된 바와 같이, 용어 "벌크 기판"은 규소 웨이퍼뿐만 아니라, 실리콘-온-사파이어("SOS") 기판 및 실리콘-온-글래스("SOG") 기판과 같은, 규소-온-인슐레이터("SOI") 기판, 기부 반도체 기초부 상의 규소의 에피택셜 층, 그리고 규소-게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물, 및 인듐 인화물과 같은 다른 반도체 또는 광전자 재료를 의미하고 포함한다. 기판은 도핑되거나 도핑되지 않을 수 있다.
기판을 프로세스하기 위한 시스템 및 방법이 다양한 실시형태에서 설명된다. 기판은 디바이스, 특히 반도체 또는 기타 전자 디바이스의 임의의 재료 부분 또는 구조물을 포함할 수 있고, 예를 들어, 기부 기판 구조물, 예를 들어 반도체 기판 또는 박막과 같은 기부 기판 구조물 상에 있거나 또는 위에 놓이는 층일 수 있다. 따라서, 기판은 패터닝되거나 또는 패터닝되지 않은 임의의 특정 기부 구조물, 하부 층 또는 상부 층으로 제한되는 것이 아니라, 오히려 임의의 그러한 층 또는 기부 구조물, 그리고 층 및/또는 기부 구조물의 임의의 조합을 포함하는 것으로 고려된다.
관련 기술 분야에서 통상의 지식을 가진 자라면 다양한 실시형태가 하나 이상의 특정 세부사항 없이 또는 다른 대체 및/또는 추가 방법, 재료, 또는 구성요소와 함께 실시될 수 있음을 이해할 수 있을 것이다. 다른 실시형태에서, 본 발명의 여러 실시형태의 양태를 불명료하게 하는 것을 방지하기 위해, 주지의 구조, 재료, 또는 동작은 구체적으로 도시되거나 설명되지 않는다. 마찬가지로, 설명의 목적으로, 특정 숫자, 재료, 및 구성이 발명의 완전한 이해를 제공하기 위해서 기술된다. 그럼에도 불구하고, 본 발명은 특정한 상세 부분이 없이도 실시될 수 있다. 또한, 도면에 도시된 여러 실시형태는 예시적인 표현이며, 반드시 실제 축척으로 도시된 것이 아니라는 점을 이해하여야 한다.
설명된 시스템 및 방법의 추가적인 수정 및 대안적 실시형태가 본 설명을 고려한 당업자에게 명백할 것이다. 따라서, 설명된 시스템 및 방법은 이러한 예시적인 구성으로 한정되지 않는다는 것을 이해할 수 있을 것이다. 본원에서 도시되고 설명된 시스템 및 방법의 형태는 예시적인 실시형태로서 고려되어야 한다는 점을 이해해야 한다. 구현예에서 다양한 변경이 이루어질 수 있다. 따라서, 본 발명은 본 명세서에서 특정 실시형태를 참조하여 설명되지만, 본 발명의 범위를 벗어나지 않고 다양한 수정 및 변경이 이루어질 수 있다. 따라서, 명세서 및 도면은 제한적인 의미가 아니라 예시적인 의미로 간주되어야 하며, 이러한 변형은 본 발명의 범위 내에 포함되는 것으로 의도된다. 또한, 특정 실시형태와 관련하여 본 명세서에 설명된 모든 이점, 장점 또는 문제의 해결책은 청구범위의 일부 또는 전부의 중요하거나 요구되거나 필수적인 특징 또는 요소로 해석되도록 의도되지 않는다.

Claims (20)

  1. 기판을 패터닝하는 방법으로서,
    패터닝 층 및 하나 이상의 하부 층을 기판 상에 형성하는 단계로서, 상기 패터닝 층은 금속-산화물 포토레지스트를 포함하는, 단계;
    상부 마스크에 의해서 덮이지 않은 상기 패터닝 층의 부분을 극자외선(EUV) 또는 저파장 광에 노광시키는, EUV 또는 저파장 리소그래피를 수행하는 단계; 및
    상기 EUV 또는 저파장 광에 노광된 상기 패터닝 층의 부분을 제거하고 금속-산화물 포토레지스트 패턴을 현상하기 위해서, 주기적인 건식 프로세스를 수행하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 주기적 건식 프로세스는,
    상기 기판을 제1 플라즈마에 노출시키는 것에 의해서 보호 층을 상기 패터닝 층의 비-노광 부분 상에 선택적으로 증착시키는 단계로서, 상기 패터닝 층의 비-노광 부분은, 상기 상부 마스크에 의해서 덮이고 상기 EUV 또는 저파장 광에 노광되지 않는, 단계;
    상기 기판을 제2 플라즈마에 노출시키는 것에 의해서 상기 패터닝 층의 노광 부분을 선택적으로 에칭하는 단계로서, 상기 패터닝 층의 노광 부분은 상기 상부 마스크에 의해서 덮이지 않고 상기 EUV 또는 저파장 광에 노광되는, 단계; 및
    상기 패터닝 층의 노광 부분이 완전히 제거될 때까지 선택적인 증착 및 선택적인 에칭을 반복하는 단계
    를 포함하는, 방법.
  3. 제2항에 있어서,
    상기 제1 플라즈마는 탄화수소 또는 플루오로카본계 전구체 가스를 포함하는, 방법.
  4. 제2항에 있어서,
    상기 제2 플라즈마는 수소 또는 할로겐 함유 전구체 가스 및 불활성 가스를 포함하는, 방법.
  5. 제4항에 있어서,
    상기 선택적 에칭 단계가 수행될 때마다, 상기 수소 또는 할로겐 함유 전구체 가스는 상기 패터닝 층의 노광 부분의 표면을 휘발성 물질로 변환하고, 상기 불활성 가스의 이온이 상기 기판의 표면을 충격하여 상기 휘발성 물질을 상기 노광 부분으로부터 제거하는, 방법.
  6. 제5항에 있어서,
    상기 선택적 증착 단계가 수행될 때마다, 새로운 보호 층이 상기 패터닝 층의 비-노광 부분 상에 증착되는, 방법.
  7. 기판을 패터닝하는 방법으로서,
    패터닝 층 및 하나 이상의 하부 층을 기판 상에 형성하는 단계로서, 상기 패터닝 층은 금속-산화물 포토레지스트를 포함하는, 단계;
    상기 패터닝 층 위의 마스크에 의해서 덮이지 않은 상기 패터닝 층의 부분을 극자외선(EUV) 또는 저파장 광에 노광시키는 단계;
    상기 기판을 제1 플라즈마에 노출시키는 것에 의해서 보호 층을 상기 패터닝 층의 비-노광 부분 상에 선택적으로 증착시키는 단계로서, 상기 패터닝 층의 비-노광 부분은, 상기 마스크에 의해서 덮이고 상기 EUV 또는 저파장 광에 노광되지 않는, 단계;
    상기 기판을 제2 플라즈마에 노출시키는 것에 의해서 상기 패터닝 층의 노광 부분을 선택적으로 에칭하는 단계; 및
    상기 패터닝 층의 노광 부분이 완전히 제거될 때까지 선택적인 증착 및 선택적인 에칭을 반복하는 단계
    를 포함하는, 방법.
  8. 제7항에 있어서,
    상기 패터닝 층은, 화학적으로 결합된 유기 리간드를 갖는 금속-산화물 구조물의 클러스터를 포함하는, 금속-산화물 물질을 포함하고, 상기 패터닝된 마스크 층에 의해서 덮이지 않은 상기 패터닝 층의 부분을 EUV 또는 저파장 광에 노광시키는 것은 상기 유기 리간드를 상기 금속-산화물 구조물로부터 분리하는 한편, 상기 패터닝 층의 비-노광 부분은 변화 없이 유지되는, 방법.
  9. 제8항에 있어서,
    상기 패터닝된 마스크 층에 의해서 덮이지 않은 상기 패터닝 층의 부분을 EUV 또는 저파장 광에 노광시킨 후에 그리고 상기 보호 층을 상기 패터닝 층의 비-노광 부분 상에 선택적으로 증착시키기 전에, 상기 방법은 베이크 프로세스를 수행하여 상기 유기 리간드를 상기 패터닝 층의 노광 부분으로부터 방출하는 단계를 추가로 포함하는, 방법.
  10. 제7항에 있어서,
    상기 제1 플라즈마는 탄화수소 또는 플루오로카본계 전구체 가스를 포함하는, 방법.
  11. 제10항에 있어서,
    상기 제1 플라즈마는 CH4, C4F8, C4F6 또는 CH3F를 포함하는, 방법.
  12. 제7항에 있어서,
    상기 제2 플라즈마는 수소 또는 할로겐 함유 전구체 가스를 포함하는, 방법.
  13. 제12항에 있어서,
    상기 제2 플라즈마는 CH4, CF4, CHF3 또는 BCl3을 포함하는, 방법.
  14. 제12항에 있어서,
    상기 제2 플라즈마는 불활성 가스를 추가로 포함하는, 방법.
  15. 제8항에 있어서,
    상기 제2 플라즈마는 아르곤(Ar)을 추가로 포함하는, 방법.
  16. 제12항에 있어서,
    상기 수소 또는 할로겐 함유 전구체 가스는 상기 패터닝 층의 노광 부분의 표면을 휘발성 물질로 변환하고, 상기 불활성 가스 이온이 상기 노광 부분의 표면을 충격하여 상기 휘발성 물질을 제거하는, 방법.
  17. 제7항에 있어서,
    보호 층을 상기 패터닝 층의 비-노광 부분 상으로 선택적으로 증착시키고 상기 패터닝 층의 노광 부분을 선택적으로 에칭하는 단계는, 상기 제1 플라즈마 및 제2 플라즈마를 생성하기 위한 동일한 플라즈마 전구체 가스를 이용하여 플라즈마 프로세싱 챔버 내에서 동시에 수행되는, 방법.
  18. 제17항에 있어서,
    상기 제1 플라즈마 및 제2 플라즈마는 탄화수소 전구체 및 불활성 가스를 각각 포함하는, 방법.
  19. 제7항에 있어서,
    보호 층을 상기 패터닝 층의 비-노광 부분 상으로 선택적으로 증착시키고 상기 패터닝 층의 노광 부분을 선택적으로 에칭하는 단계는 플라즈마 프로세싱 챔버 내에서 분리되고, 그에 따라 상이한 플라즈마 전구체 가스들을 이용하여 상기 제1 플라즈마 및 제2 플라즈마를 생성하는, 방법.
  20. 제19항에 있어서,
    상기 제1 플라즈마는 탄화수소 전구체를 포함하고, 상기 제2 플라즈마는 할로카본 전구체 및 불활성 가스를 포함하는, 방법.
KR1020237019733A 2020-11-13 2021-11-11 극자외선(euv) 레지스트 패터닝 현상 방법 KR20230101906A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/097,921 US11079682B1 (en) 2020-11-13 2020-11-13 Methods for extreme ultraviolet (EUV) resist patterning development
US17/097,921 2020-11-13
PCT/US2021/058963 WO2022103949A1 (en) 2020-11-13 2021-11-11 Methods for extreme ultraviolet (euv) resist patterning development

Publications (1)

Publication Number Publication Date
KR20230101906A true KR20230101906A (ko) 2023-07-06

Family

ID=77063598

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237019733A KR20230101906A (ko) 2020-11-13 2021-11-11 극자외선(euv) 레지스트 패터닝 현상 방법

Country Status (6)

Country Link
US (2) US11079682B1 (ko)
JP (1) JP2023549608A (ko)
KR (1) KR20230101906A (ko)
CN (1) CN116830243A (ko)
TW (1) TW202234140A (ko)
WO (1) WO2022103949A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240027900A1 (en) * 2022-07-22 2024-01-25 Tokyo Electron Limited Acid for Reactive Development of Metal Oxide Resists
US20240045337A1 (en) * 2022-08-03 2024-02-08 Tokyo Electron Limited Metal Oxide Resists for EUV Patterning and Methods for Developing the Same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US20190131130A1 (en) 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity

Also Published As

Publication number Publication date
US20230341781A1 (en) 2023-10-26
TW202234140A (zh) 2022-09-01
CN116830243A (zh) 2023-09-29
US11079682B1 (en) 2021-08-03
WO2022103949A1 (en) 2022-05-19
JP2023549608A (ja) 2023-11-28

Similar Documents

Publication Publication Date Title
US10438807B2 (en) Low roughness EUV lithography
JP7058080B2 (ja) Aleおよび選択的蒸着を用いた基板のエッチング
KR101353239B1 (ko) 피치 감소
JP4971978B2 (ja) ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
TW201937575A (zh) 半導體製程中之間隔物限定的直接圖案化方法
KR101711669B1 (ko) 측벽 형성 공정
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
KR101534883B1 (ko) 마스크 트리밍
KR20080093392A (ko) 제어된 임계 치수 수축의 에칭 처리
JP2014512096A (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
KR20100106502A (ko) 포토레지스트 더블 패터닝
JP2006215552A (ja) フォトマスク製作に適したクロム層をプラズマエッチングするための方法
JP2006215552A5 (ko)
KR20100074119A (ko) Arl 에칭을 이용한 마스크 트리밍
KR20230101906A (ko) 극자외선(euv) 레지스트 패터닝 현상 방법
JP6630935B2 (ja) マイクロエレクトロニクス基板上のドライハードマスク除去のための方法
KR102072269B1 (ko) 패터닝된 층의 주기적 에칭을 위한 방법
JP4522892B2 (ja) 微細パターン形成方法
TWI793908B (zh) 具有埋入字元線的半導體結構的其製備方法
US11342195B1 (en) Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
KR20160110153A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP2007189153A (ja) 半導体装置の製造方法
KR20190030181A (ko) 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법