JP2012507144A - プラズマ助長酸化を使用したパッシベーションを伴うシリコンエッチング方法及び装置 - Google Patents

プラズマ助長酸化を使用したパッシベーションを伴うシリコンエッチング方法及び装置 Download PDF

Info

Publication number
JP2012507144A
JP2012507144A JP2011533222A JP2011533222A JP2012507144A JP 2012507144 A JP2012507144 A JP 2012507144A JP 2011533222 A JP2011533222 A JP 2011533222A JP 2011533222 A JP2011533222 A JP 2011533222A JP 2012507144 A JP2012507144 A JP 2012507144A
Authority
JP
Japan
Prior art keywords
etching
gas
containing gas
plasma
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011533222A
Other languages
English (en)
Other versions
JP5965641B2 (ja
Inventor
ウィニチェク・ヤロスロウ・ダブリュ.
ケビ・ロバート・ピー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2012507144A publication Critical patent/JP2012507144A/ja
Application granted granted Critical
Publication of JP5965641B2 publication Critical patent/JP5965641B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】シリコン層を、その上に形成されたパターン化マスクを通してエッチングするための方法及び装置が提供される。シリコン層は、エッチングチャンバ内に置かれる。フッ素含有ガス及び酸素・水素含有ガスを含むエッチングガスが、エッチングチャンバ内へ提供される。エッチングガスからプラズマが生成され、該プラズマを使用してシリコン層内に特徴がエッチングされる。エッチングガスは、次いで、停止される。プラズマは、OHラジカルを含んでよい。
【選択図】図1

Description

本発明は、半導体デバイスの形成に関する。本発明は、特に、シリコン材料内に特徴をエッチングすることに関する。
ビアホール及びトレンチなどの特徴は、特徴の側壁がその上に形成されたパッシベーション層によってエッチング反応から保護される異方性エッチングを使用して、シリコン基板内に形成される。エッチングガスは、通常、化学エッチング用のハロゲンガス(SF6など)と、パッシベーション用の酸素(O2)ガスとを含む。パッシベーション層は、通常、特徴の側壁の酸化によって形成されて酸化シリコンを含有する酸化物膜(SiOxをベースにした膜)である。パッシベーション層の組成は、エッチング化学剤及びマスク材料によって影響され得る。過剰な側壁パッシベーションは、ピンチオフを引き起こすことがあり、過少な側壁パッシベーションは、ボーイング、アンダカット、及びCD(限界寸法)劣化を引き起こすことがある。
シリコン基板内には、プラズマエッチングサイクルと蒸着(パッシベーション)サイクルとの交互の迅速な繰り返しを利用する「高速交互」プラズマエッチングプロセス(ガス調節プロセス)の使用によって、深い特徴も形成され得る。一般に、エッチングサイクル及び蒸着サイクルのための基本的なプロセスガスは、それぞれSF6及びC48である。方向性のエッチングを達成するために、C48パッシベーションサイクル中は、側壁保護ポリマ層が蒸着される。SF6エッチングサイクル中は、イオン助長エッチングによって水平面(ビアの底部など)からパッシベーションポリマが除去され、次いで、そうして露出された領域から、遊離フッ素によってシリコンが等方的にエッチングされる。
ガス調節プロセスでは、プラズマ処理リアクタに供給されるプロセスガスは、高速でオンオフ切り替えされ、その結果、プロセスは、ウエハからシリコンが除去されるエッチング状態から、ウエハ上に材料が蒸着されシリコンは除去されない蒸着状態へ、そして再びエッチング状態へ、素早く切り替わる。交互サイクルの継続時間は、通常、比較的短時間であり、シリコン基板内の所望の深さに到達するには、通常、多数のサイクルが必要とされる。
以上を達成するために、尚且つ本発明の目的にしたがって、一実施形態では、シリコン層を、その上に形成されたパターン化マスクを通してエッチングする方法が提供される。シリコン層は、エッチングチャンバ内に置かれる。フッ素含有ガス、及び、酸素・水素含有ガスを含むエッチングガスが、エッチングチャンバ内へ提供される。エッチングガスからプラズマが生成され、該プラズマを使用してシリコン層内に特徴がエッチングされる。エッチングガスは、次いで、停止される。プラズマは、OHラジカルを含んでよい。
発明の別の顕現では、シリコン層を、その上に形成されたパターン化マスクを通して下流プラズマを使用してエッチングする方法が提供される。シリコン層は、エッチングチャンバ内に置かれる。フッ素含有ガスを含むエッチングガスが、上流エッチングチャンバ内へ提供される。エッチングガスからプラズマが生成される。プラズマからの反応媒体がエッチングチャンバに導入され、更に、反応媒体がOHラジカルを含むように、酸素及び水素を含有するパッシベーションガスもエッチングチャンバ内へ提供される。反応媒体を使用してシリコン層内に特徴がエッチングされる。反応媒体及びパッシベーションガスは、次いで、停止される。パッシベーションガスは、水蒸気又はアルコールの少なくとも一方を含んでよい。
発明の別の顕現では、パターン化マスクを通してシリコン層内に特徴をエッチングするための装置が提供される。装置は、プラズマ処理チャンバと、エッチングガス源と、コントローラとを含む。プラズマ処理チャンバは、プラズマ処理チャンバエンクロージャを形成するチャンバ壁と、プラズマ処理チャンバエンクロージャ内において基板を支えるための基板サポートと、プラズマ処理チャンバエンクロージャ内の圧力を調整するための圧力調整器と、プラズマを維持するためにプラズマ処理チャンバエンクロージャに電力を供給するための少なくとも1つの電極と、該少なくとも1つの電極に電気的に接続された少なくとも1つのRF電力源と、プラズマ処理チャンバエンクロージャ内へガスを提供するためのガス入口と、プラズマ処理チャンバエンクロージャからガスを排出するためのガス出口とを含む。エッチングガス源は、ガス入口と流体接続しており、フッ素含有ガス源と、酸素・水素含有ガス源とを含む。コントローラは、ガス源、RFバイアス源、及び少なくとも1つのRF電力源に可制御式に接続される。コントローラは、少なくとも1つのプロセッサと、シリコン層をエッチングするためのコンピュータ可読コードを含むコンピュータ可読媒体とを含む。シリコン層をエッチングするためのコンピュータ可読コードは、(a)フッ素含有ガス源からプラズマチャンバにフッ素含有ガスを流し込むためのコンピュータ可読コードと、(b)酸素・水素含有ガス源からプラズマチャンバに酸素・水素含有ガスを流し込むためのコンピュータ可読コードと、(c)フッ素含有ガス及び酸素・水素含有ガスからプラズマを形成するためのコンピュータ可読コードと、(d)バイアス電圧を印加するためのコンピュータ可読コードと、(e)シリコン層内に特徴をエッチングするためのコンピュータ可読コードと、(f)フッ素含有ガス及び酸素・水素含有ガスを停止させるためのコンピュータ可読コードとを含む。
本発明のこれら及びその他の特徴は、発明の詳細な説明において、尚且つ添付の図面との関連のもとで、より詳しく以下で説明される。
添付の図面において、本発明は、限定的なものではなく例示的なものとして示され、図中、類似の参照符号は、同様の要素を指すものとする。
本発明の一実施形態にしたがった、シリコン層をエッチングするプロセスのハイレベルなフローチャートである。
本発明の一実施形態にしたがった、特徴をエッチングされているシリコン層の断面の一例を概略的に示す図である。
発明の一実施形態を実施するために使用され得るプラズマ処理システムの一例の概略図である。
発明の実施形態に使用されるコントローラを実装するのに適したコンピュータシステムを示す図である。
本発明の別の実施形態にしたがった、シリコン層をエッチングするプロセスのハイレベルなフローチャートである。
発明の一実施形態を実施するために使用され得る下流プラズマ処理システムの一例の概略図である。
次に、添付の図面に示されるような幾つかの好ましい実施形態を参照にして、本発明の詳細な説明が行われる。以下の説明では、本発明の完全な理解を可能にするために、多くの詳細が特定されている。しかしながら、当業者ならば明らかなように、本発明は、これらの一部又は全部の詳細を特定しなくても実施され得る。また、本発明を不必要に不明瞭にしないために、周知のプロセスステップ及び/又は構造の詳細な説明は省略される。
上述のように、側壁パッシベーションは、特徴の側壁を横方向のエッチングから保護して特徴の異方性エッチングを実現するために、シリコンエッチングにおいて使用される。例えば、シリコンエッチングプロセス中に正確な側壁を形成することによって、実質的に鉛直なプロファイルが得られる。従来の定常状態シリコンエッチングでは、側壁パッシベーション層は、シリコン特徴側壁の酸化によって形成される。結果得られるパッシベーション層は、通常、酸化シリコン膜である。これに対して、ガス調節プロセスでは、蒸着工程中に、C48などの炭素含有ガスから形成されるプラズマを使用して側壁パッシベーション層が蒸着される一方で、続くエッチング工程では、SF6などのフッ素含有ガスから形成されるプラズマを使用してシリコン層がエッチングされ、これらの蒸着工程及びエッチング工程は、高速で交互に切り替えられる。結果得られるパッシベーション層は、通常、ポリマである。
出願人らは、酸化物をベースにしたパッシベーション層を形成し、SF6などのフッ素含有ガスを使用したエッチングプロセス中に特徴側壁を保護するために、O2、SO2、CO2、COなどの酸素含有ガスをパッシベーションガスとして使用した。側壁パッシベーション層は、SiO2(O2が使用された場合)、SiOx(SO2が使用/追加された場合)、並びに/又はSiC若しくはSiOC(CO2及び/若しくはCOが使用/追加された場合)を含む。N2O又はNO2が使用/追加されてもよく、その場合、パッシベーション層は、SiN又はSiONを更に含むことになる。B26、BCl3などのその他のガスも追加されてよく、この場合、パッシベーション層は、SiOBN又はSiBNも含み得る。設計要件を満たす十分な薄さであり、尚且つ特徴側壁を保護することができる十分な強度及び耐性であるパッシベーション層を構築するために、出願人らは、新規のパッシベーションガスと、その結果得られる新規のパッシベーション層とを開発した。
本発明の実施形態にしたがうと、側壁パッシベーション層の組成は、パッシベーション層がSiOHを含むように、又はより一般的にはx≧1且つy≧1としてSiOxyを含むように、OHラジカルを使用して調節される。プラズマ中にOHラジカルを提供するために、パッシベーションガスは、酸素及び水素を含む。例えば、パッシベーションガスは、水蒸気及び/又はアルコールを含む。パッシベーションガスがアルコールを含む場合は、パッシベーション層は、更に、SiCOH及び/又はSiOC、又はより一般的にはn≧0、x≧1、y≧0で且つn及びyをともにゼロでないとしてSiCnxyを含んでよい。水蒸気又はアルコール蒸気(OHラジカル)を使用したシリコンの酸化(パッシベーション層の成長)は、酸素(Oタイプのラジカル)を使用した場合よりも高速であると考えられる。パッシベーション層は、SiOxも含んでよい。
理解を促すために、図1は、発明の一実施形態で使用されるプロセスのハイレベルなフローチャートであり、ここで、シリコン層は、その上に形成されたパターン化マスクを通してエッチングチャンバを使用してエッチングされる。シリコン層を中に置かれたエッチングチャンバ内へ、フッ素(F)含有ガス、及び、酸素(O)・水素(H)含有ガスを含むエッチングガスが提供される(ステップ102)。例えば、フッ素含有ガスは、SF6を含む。フッ素含有ガスは、更に、SiF4を含んでよい。また、フッ素含有ガスは、NF3又はCF4でもよく、又は、SF6、NF3、SiF4、及び/若しくはCF4の組み合わせであってもよい。その他のハロゲン含有ガスが、エッチングガスに追加されてもよい。パッシベーションガスとして機能する酸素・水素含有ガスは、本発明の一実施形態にしたがうと、水蒸気である。酸素・水素含有ガスは、アルコール(Cn2n-1−OH)であってもよい。更に別の実施形態では、酸素・水素含有ガスは、水蒸気及びアルコールを含んでよい。エッチングガスは、更に、O2、及び/又はCO2若しくはCOの少なくとも一方を含んでよい。また、CO、CO2、水、及び/又はアルコールに加えて、ケトン(アセトン、CH3CO−CH3など)も、パッシベーションガスとして使用されてよい。更に、アルデヒド(末端カルボニル基−CHOを含む)、エステル(R’をアルキル基、Rをカルボキシル基として一般構造R−COO−R’を有する)、及びエーテル(一般構造R−O−Rを有する)などの、その他の化学物質も挙げられる。なお、特定の反応効果を提供するために、キャリアガス及び/又は希釈ガスが化学剤に追加されてもよいことが留意されるべきである。
本発明の一実施形態にしたがうと、パッシベーションガスは、蒸発によって、液体前駆体(水又は液体アルコール)から生成され得る。OHラジカル(又は水蒸気)もまた、下流プラズマリアクタを使用して、高温のO2ガス及びH2ガスから生成され得る。パッシベーションガス(水蒸気又はアルコール)は、エッチングチャンバに導入される前に、フッ素含有ガスと混合されてよい。或いは、フッ素含有ガス及びパッシベーションガスは、別々のガス入口からエッチングチャンバに導入されてよく、そのなかで、プラズマが生成される。
図1を参照すると、フッ素含有ガスと酸素・水素含有パッシベーションガス(例えば水蒸気及び/又はアルコール)とを含むエッチングガスから、プラズマが生成される(ステップ104)。プラズマ中、水蒸気は、ヒドロキシルラジカル(OH)を提供し、アルコールは、ヒドロキシル基(OH)を提供する。「ヒドロキシル基」は、通常、有機化合物中の置換基であるときの官能基「−HO」を表わすために使用される。本明細書及び特許請求の範囲では、「ヒドロキシル」又は「ヒドロキシルラジカル」は、(無機化合物又は水からの)ヒドロキシルラジカル及び(有機化合物又はアルコールからの)ヒドロキシル基の両方を意味する。
バイアス電圧が印加され(ステップ106)、プラズマを使用してシリコン層内に特徴がエッチングされる(ステップ104)。理解を促すために、図2は、特徴をエッチングされているシリコン層200の断面の一例を示している。シリコン層200は、シリコンウエハであってよい。シリコン材料は、結晶質シリコン、ポリシリコン、又は非晶質シリコンであってよい。シリコン材料は、ドープシリコン又は歪みシリコンであってもよい。シリコン層200の上に、シリコン材料上の特徴204を定めたパターン化マスク202が提供される。マスク202は、フォトレジスト(PR)マスク又はハードマスク(酸化物)であってよい。マスク202は、前のステップでエッチングされたであろう導電層及び/又は誘電体層などのその他の層もマスクの下に含んでよい(不図示)。シリコン材料内にエッチングされた特徴204は、図2に示されるように、鉛直な(すなわち、実質的に90度の)プロファイル角度を有するであろう。特徴204は、用途によっては先細のプロファイル(すなわち、角度が90度未満のプロファイル)を有するであろう。シリコンエッチングが、5ミクロンから400ミクロンに及ぶ深さに特徴がエッチングされるディープシリコンエッチングであるのに対して、競合するCOMSデバイスの層の通常の厚さは、3〜5ミクロンである。本発明は、なかでも特に高アスペクト比の場合の、ディープシリコンエッチングに適している。例えば、特徴のアスペクト比は、少なくとも80であってよい、或いは、特徴の深さは、少なくとも80μmであってよい。
本発明の実施形態にしたがうと、側壁パッシベーション層210は、OHラジカルを使用して形成され、その組成は、SiOxをベースにしたパッシベーション層と比べて調節される。シリコンの酸化は、Oタイプのラジカルを使用するよりも、OHラジカルを使用した方が速いと考えられる。本発明の実施形態のパッシベーション層は、SiOHを含む。パッシベーション層は、また、SiOxも含んでよい。より一般的には、パッシベーション層は、x≧1且つy≧1として、SiOxyを含む。アルコールが使用される場合は、パッシベーション層は、更に、SiCOH及び/又はSiOCを含んでよい。より一般的には、n≧0、x≧1、y≧0で且つn及びyをともにゼロでないとして、SiCnxyを含む。
SiOHを含むパッシベーション層(SiOxy又はSiCnxy)は、SiOH成分を含まない従来のSiOxベースのパッシベーション層よりも薄くて尚且つ強い(耐性がある)とも考えられる。エッチングプロセス中は、特徴204の側壁206上及び底部208上においてパッシベーションが発生する。バイアス電圧が印加されるので(図1のステップ106)、荷電粒子(イオン)は、特徴の底部208には衝突するが、側壁206には全く又はあまり衝突しない。したがって、側壁上では、パッシベーション層210の形成が、エッチャント(F)ラジカルから側壁206を保護し続ける。その一方で、特徴の底部208では、パッシベーション層は、形成されると同時にイオン支援エッチングによって除去されるので、露出されたシリコンは、ラジカルによってエッチングされる。SiOxy及び/又はSiCnxyを含むパッシベーション層210は、ラジカルによって容易にはエッチングされず、その除去には、イオンの衝突が必要である。
所望の特徴がエッチングされた後(ステップ108)、エッチングガスは停止される(ステップ110)。
上述のような、SF6などのフッ素含有ガスと酸素・水素含有ガス(パッシベーションガス)とを含むエッチングガスを使用した連続的な非交互エッチングプロセス(定常状態)によって、高いエッチング効率と所望のプロセス柔軟性とが達成され得る。プロセスが連続的であるのは、たとえプロセス中に供給ガスフローの設定点が変わっても(例えば、高い値から低い値へ一定の割合で減少しても、又はその逆に増加しても)、エッチングガスフローはオンオフ切り替えされず、むしろ、シリコン層200内に特徴204がエッチングされている間、ガス供給は継続してオン状態であり続けるからである。プロセスが非交互的であるのは、それが「エッチング」状態から「蒸着」状態に変化せず、むしろ、シリコンのエッチングとエッチングの抑制(パッシベーション)とがエッチングプロセス中に同時に生じるからである。このような連続プロセスでは、合計プロセス時間の100%にわたってシリコンが除去されているので、そのエッチング効率は、高速で交互するプロセスと比べて大幅に向上され得る。また、ガスフローは連続的であるので、ガスフローコントローラなどの標準的なハードウェアが使用されてよく、したがって、プロセスをサポートするために必要とされるシステムの費用及び複雑性が軽減される。
定常状態シリコンエッチングプロセスの一例は、2500WのTCP電力及び250Vのバイアス電圧で、SF6及びH2O蒸気を含むエッチングガスを使用する。エッチングガスフローは、800sccmのSF6及び300sccmのH2O蒸気を含んでよい。エッチングガスフローは、80ミリトールで80sccmのO2及び50sccmのCOを含んでよい。或いは、もしアルコールが使用される場合は、化学剤は、800sccmのSF6及び300sccmのC25OH(エタノール)又はCH3OH(メタノール)蒸気を含む。エッチングガスフローは、80ミリトールで120sccmのO2及び50sccmのCOを含んでよい。ウエハ基板温度は、0℃に設定される。更に、CO、CO2、及びアルコールの使用に加えて、ケトン(アセトン、CH3CO−CH3など)も使用されてよい。
また、連続エッチングプロセスのプロセスパフォーマンス及び柔軟性は、連続エッチングプロセス中に、プラズマ電力、ウエハバイアス電力、プロセスチャンバ圧力などの代表的なプロセスパラメータを変化させることによって向上され得る。例えば、プラズマ電力供給及び/又はウエハバイアス電圧は、ウエハに到達する反応性プラズマ成分の中性対帯電比をバランスさせるために、オンオフ式に又は高低式にパルス生成されてよい。別の例では、プラズマ電力、ウエハバイアス電力、及び/又はプラズマ処理チャンバ内の圧力は、連続エッチングプロセス中に、高い値から低い値へ一定の割合で減少されてよい、又はその逆に増加されてよい。
図3は、本発明の一実施形態にしたがった、シリコン層をエッチングするプロセスを実施するために使用され得るプラズマ処理システム300の一例を概略的に示している。プラズマ処理システム300は、プラズマ処理チャンバ304を中に有するプラズマリアクタ302を含む。整合回路網308によって調整されるプラズマ電力供給部306は、プラズマ処理チャンバ304内にプラズマ314を発生させるために、窓312の近くに位置するTCPコイル310に電力を供給する。TPCコイル(上部電力源)310は、処理チャンバ304内に一様な拡散プロファイルを形成するように構成されてよい。例えば、TCPコイル310は、プラズマ314内にトロイダル電力分布を形成するように構成されてよい。窓312は、TCPコイル310をプラズマチャンバ304から隔てつつ、TCPコイル310からプラズマチャンバ304へのエネルギの通過を可能にするように提供される。整合回路網318によって調整されるウエハバイアス電圧電力供給部316は、電極320によって支えられているウエハ322上のバイアス電圧を設定するために、電極320に電力を供給する。コントローラ324は、プラズマ電力供給部306及びウエハバイアス電圧供給部316を設定する。
プラズマ電力供給部306及びウエハバイアス電圧電力供給部316は、例えば、13.56MHz、27MHz、2MHz、400kHz、又はこれらの組み合わせなどの、特定の高周波数で動作するように構成されてよい。プラズマ電力供給306及びウエハバイアス電力供給部316は、所望のプロセスパフォーマンスを達成するために所定範囲の電力を供給するように適切にサイズ決定されてよい。例えば、本発明の一実施形態では、プラズマ電力供給部306は、300〜10000ワットの範囲の電力を供給してよく、ウエハバイアス電圧電力供給部316は、10〜1000Vの範囲のバイアス電圧を供給してよい。また、TPCコイル310及び/又は電極320は、2つ又は3つ以上のサブコイル又はサブ電極からなってよく、これらは、1つの電力供給部によって又は複数の電力供給部によって電力供給されてよい。
図3に示されるように、プラズマ処理システム200は、更に、ガス源/ガス供給メカニズム330を含む。ガス源は、フッ素含有ガス源332、パッシベーションガス源(酸素・水素含有ガス源)334、及び随意としての追加のガス源336を含む。ガス源332、334、及び336は、ガス入口340を通じて処理チャンバ304と流体接続している。ガス入口340は、チャンバ304内において任意の好都合な場所に設けられてよく、単一ノズル又はシャワーヘッドなどの、ガスを注入するための任意の形態をとり得る。好ましくは、しかしながら、ガス入口340は、「可調整」ガス注入プロファイルを形成するように構成されてよく、これは、プロセスチャンバ304内の複数ゾーンへのそれぞれのガスフローの独立調整を可能にする。プロセスガス及び副生成物は、圧力制御弁342及びポンプ344を通じてチャンバ304から除去され、これらは、プラズマ処理チャンバ304内を特定の圧力に維持する働きもする。ガス源/ガス供給メカニズム330は、コントローラ324によって制御される。
プラズマ処理システム300は、窓312全域における温度勾配を低減させるため及び窓312の全体的な動作温度を下げるための窓冷却システム(不図示)も含んでよい。
図4は、本発明の1つ又は複数の実施形態で使用され得る(図3における)コントローラ324を実装するのに適したコンピュータシステム400のブロック図を概略的に示している。コンピュータシステム400は、集積回路、プリント回路基板、及び小型の携帯用端末から巨大なスーパーコンピュータに及ぶ多くの物理的形態をとり得る。コンピュータシステム400では、システムバス420に、種々様々なサブシステムが取り付けられる。(1つ又は複数の)プロセッサ422(中央演算処理装置、すなわちCPUとも称される)は、システムメモリ424を含むストレージデバイスに接続される。メモリ424は、ランダムアクセスメモリ(RAM)及び読み出し専用メモリ(ROM)を含む。当該分野で周知のように、ROMは、CPUに対してデータ及び命令を単方向的に伝送する働きをし、RAMは、通常、データ及び命令を双方向的に伝送するために使用される。これらのメモリは、いずれのタイプも、後述される任意の適切な種類のコンピュータ可読媒体を含み得る。CPU422には、固定ディスク426も双方向的に接続され、これは、追加のデータストレージ容量を提供し、やはり、後述される任意のコンピュータ可読媒体を含み得る。固定ディスク426は、プログラムやデータなどを格納するために使用されてよく、通常は、一次ストレージよりも低速な二次ストレージ媒体(ハードディスクなど)である。なお、固定ディスク426内に保持される情報は、もし適切であれば、メモリ424内の仮想メモリとして標準的な形で組み入れ可能であることがわかる。コンピュータシステム400に対してデータを出し入れするために、ディスクドライブ428を通じて取り外し可能ディスク414が使用されてよい。取り外し可能ディスク414は、後述される任意のコンピュータ可読媒体の形態をとり得る。シリアルポート432を通じて、USBフラッシュドライブなどのポータブルメモリ434も使用されてよい。
CPU422は、ディスプレイ404、キーボード410、コンピュータマウスなどのユーザポインティングデバイス412、スピーカ430、及び入出力(I/O)コントローラ436などの様々な入出力デバイスにも接続される。一般に、入出力デバイスは、ビデオディスプレイ、トラックボール、マウス、キーボード、マイクロフォン、タッチセンサ式ディスプレイ、トランスデューサカード読み取り装置、磁気テープ若しくは紙テープ読み取り装置、タブレット、スタイラス、音声もしくは手書き文字認識装置、バイオメトリック読み取り装置、又は他のコンピュータの任意であってよい。CPU422は、ネットワークインターフェース440を使用して別のコンピュータまたは通信ネットワークに随意に接続されてよい。このようなネットワークインターフェースがあれば、CPU422は、上述された方法のステップを実施する過程において、ネットワークから情報を受信する、又はネットワークに情報を出力することができると考えられる。更に、本発明の方法の実施形態は、CPU422上のみで実行されてもよいし、或いは処理の一部を共有するリモートCPUと連携してインターネットなどのネットワークを通じて実行されてもよい。
コンピュータシステム400は、プラズマ処理システムを診断するために及びプラズマプロセスを制御するために、ガスフロー、圧力、温度、電力などの関連のプロセスデータを収集及び格納するように構成されてよい。
また、本発明の実施形態は、更に、コンピュータによって実行される各種の動作を実施するためのコンピュータコードを記録されたコンピュータ可読媒体を伴うコンピュータストレージ製品に関する。媒体およびコンピュータコードは、本発明の目的のために特別に設計及び構成されたものであってもよいし、或いはコンピュータソフトウェアの分野の当業者にとって周知で且つ利用可能なものであってもよい。コンピュータ可読媒体の具体例としては、ハードディスク、フロッピィディスク、及び磁気テープなどの磁気媒体、CD−ROM及びホログラフィックデバイスなどの光媒体、フロプティカルディスクなどの光磁気媒体、並びに特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、ROMデバイス、及びRAMデバイスなどの、プログラムコードの格納及び実行のために特別に構成されたハードウェアデバイスが、非限定的に挙げられる。コンピュータコードの例は、コンパイラによって生成されるなどのマシンコード、及びインタープリタを使用してコンピュータによって実行される高水準コードを含むファイルを含む。コンピュータ可読媒体は、搬送波に組み込まれたコンピュータデータ信号によって伝送され尚且つプロセッサによって実行可能な一連の命令を表すコンピュータコードであってもよい。
本発明の一実施形態にしたがうと、下流プラズマチャンバは、シリコン層をエッチングするために使用され、パッシベーションガスフローは、プラズマの下流に導入されてよい。図5は、発明の一実施形態で使用されるプロセスを概略的に示しており、ここで、シリコン層は、その上に形成されたパターン化マスクを通して、下流プラズマチャンバを使用してエッチングされる。図6は、本発明の一実施形態にしたがった、シリコン層をエッチングするプロセスを実施するために使用され得る下流プラズマ処理システム600の一例を概略的に示している。
図6に示されるように、下流プラズマ処理システム600は、エッチングチャンバ602と、上流プラズマチャンバ610とを含む。整合回路網608によって調整されるプラズマ電力供給部606は、エッチングチャンバ602の上に位置する上流プラズマチャンバ610に電力を供給する。上流プラズマチャンバ610は、RF電力又はマイクロ波を使用してプラズマ612を励起させ得る。ポート652を通して、プラズマ612からエッチングチャンバ602へ反応媒体650が流れ込む。ウエハベースプラズマ源及びバイアス電圧電力供給部616は、プラズマ及びバイアス電圧を提供する。整合回路網618によって調整される電力供給616は、ウエハ622の上にプラズマを提供するために及び電極620によって支えられているウエハ622上のバイアス電圧を設定するために、ウエハ622を上に位置決めされた電極620に電力を供給する。コントローラ624は、上流プラズマ電力供給部606、並びにウエハベースプラズマ源及びバイアス電圧電力供給部616を設定する。コントローラ624は、上述されたコンピュータシステム400(図4A及び図4B)を使用して実装され得る。また、ウエハベースプラズマ源及びバイアス電圧電力供給部616は、1つ又は複数の周波数を提供し得る。例えば、13.56MHz単独、27MHzと2MHz、及び27MHz以上(60MHzなど)と400kHzが使用されてよい。ウエハベースプラズマ源及びバイアス電圧電力供給部616は、2つの別々の発生器、すなわちプラズマ源としての高周波数発生器と、バイアス電圧源としてのより低周波数のものとを含んでよい。
下流プラズマ処理システム600は、更に、ガス源/ガス供給メカニズム630を含む。ガス源は、フッ素含有ガス源632、パッシベーションガス源(酸素・水素含有ガス源)636、及び随意としての追加のガス源634を含む。フッ素含有ガス源632及び追加のガス源(随意)634は、ガス入口614を通じてプラズマチャンバ610と流体接続している。パッシベーションガス源634は、ガス入口640を通じてエッチングチャンバ602と流体接続している。ガス入口614及び640は、上流プラズマチャンバ610内及びエッチングチャンバ602内において任意の好都合な場所にそれぞれ設けられてよく、単一ノズル又はシャワーヘッドなどの、ガスを注入するための任意の形態をとり得る。好ましくは、しかしながら、ガス入口614及び640は、「可調整」ガス注入プロファイルを形成するように構成されてよく、これは、それぞれのガスフローの独立調整を可能にする。プロセスガス及び副生成物は、圧力制御弁642及びポンプ644を通じてエッチングチャンバ604から除去され、これらは、プラズマ処理チャンバ604内を特定の圧力に維持する働きもする。ガス源/ガス供給メカニズム630は、コントローラ624によって制御される。
図5に示されるように、フッ素含有ガスを含むエッチングガスが上流プラズマチャンバ610内へ提供され(ステップ502)、エッチングガスからプラズマ612が生成される(ステップ504)。エッチングガスは、先の実施形態と同様に、追加のガス源634から供給され得るその他の成分を含んでよい。プラズマ612からの反応媒体650が、エッチングチャンバ602に運び込まれる(ステップ506)。反応媒体650は、ラジカル及びイオンを含む。反応媒体650がOHラジカルを含むように、エッチングチャンバ602に、酸素及び水素を含有するパッシベーションガス654(例えば、水蒸気及び/又はアルコール)が入口640を通じて導入される(ステップ508)。バイアス電圧が印加され(ステップ510)、反応媒体を使用してシリコン層内に特徴がエッチングされる(ステップ512)。次いで、反応媒体及びパッシベーションガスは、停止される(ステップ514)。
本発明の別の実施形態にしたがうと、新規のパッシベーションガスは、交互する蒸着ステップとエッチングステップとの繰り返しからなるガス調節プロセスのエッチング工程において使用されてもよい。通常、蒸着ステップは、C48を含む蒸着ガスを使用し、エッチングステップは、SF6を含有するエッチングガスを使用する。エッチングステップでは、SF6を含有するエッチングガスに、酸素及び水素を含むパッシベーションガス(例えば、水蒸気及び/又はアルコール)が追加されてよい。
本発明は、幾つかの好ましい実施形態の観点から説明されているが、本発明の範囲に含まれるものとして、代替形態、置換形態、変更形態、及び代わりとなる各種の等価形態がある。また、本発明の方法及び装置を実現する多くの代替の方法があることも、留意されるべきである。したがって、以下の添付の特許請求の範囲は、本発明の真の趣旨及び範囲に含まれるものとして、このようなあらゆる代替形態、置換形態、及び代わりとなる各種の等価形態を含むものと解釈されることを意図される。

Claims (29)

  1. シリコン層が中に置かれたエッチングチャンバを使用して、前記シリコン層の上に形成されたパターン化マスクを通して前記シリコン層をエッチングする方法であって、
    フッ素含有ガス、及び、酸素・水素含有ガスを含むエッチングガスを前記エッチングチャンバ内へ提供することと、
    前記エッチングガスからプラズマを生成することと、
    前記プラズマを使用して前記シリコン層内に特徴をエッチングすることと、
    前記エッチングガスを停止させることと、
    を備える方法。
  2. 請求項1に記載の方法であって、
    前記プラズマは、OHラジカルを含む、方法。
  3. 請求項1又は2に記載の方法であって、更に、
    前記エッチング中にバイアス電圧を印加することを備える方法。
  4. 請求項1又は2に記載の方法であって、
    前記酸素・水素含有ガスは、水蒸気を含む、方法。
  5. 請求項4に記載の方法であって、
    前記エッチングは、x≧1且つy≧1として、SiOxyを含有するパッシベーション層を、前記エッチングされている特徴の側壁上に形成することを含む、方法。
  6. 請求項1又は2に記載の方法であって、
    前記酸素・水素含有ガスは、アルコールを含む、方法。
  7. 請求項6に記載の方法であって、
    前記エッチングは、n≧0、x≧1、y≧0で且つn及びyをともにゼロでないとして、SiCnxyを含有するパッシベーション層を、前記エッチングされている特徴の側壁上に形成することを含む、方法。
  8. 請求項1又は2に記載の方法であって、
    前記フッ素含有ガスは、SF6を含む、方法。
  9. 請求項8に記載の方法であって、
    前記フッ素含有ガスは、更に、SiF4を含む、方法。
  10. 請求項1又は2に記載の方法であって、
    前記エッチングガスは、更に、O2を含む、方法。
  11. 請求項10に記載の方法であって、
    前記エッチングガスは、更に、CO2又はCOの少なくとも一方を含む、方法。
  12. 請求項1又は2に記載の方法であって、
    前記エッチングガス及び前記酸素・水素含有ガスは、異なる場所に設けられた異なるガス入口から別々に前記エッチングチャンバに導入される、方法。
  13. シリコン層が中に置かれたエッチングチャンバを使用して、前記シリコン層の上に形成されたパターン化マスクを通して前記シリコン層をエッチングする方法であって、
    フッ素含有ガスを含むエッチングガスを上流エッチングチャンバ内へ提供することと、
    前記エッチングガスからプラズマを生成することと、
    前記プラズマからの反応媒体を前記エッチングチャンバに導入することと、
    前記反応媒体がOHラジカルを含むように、酸素及び水素を含有するパッシベーションガスを前記エッチングチャンバ内へ提供することと、
    前記反応媒体を使用して前記シリコン層内に特徴をエッチングすることと、
    前記反応媒体及び前記パッシベーションガスを停止させることと、
    を備える方法。
  14. 請求項13に記載の方法であって、更に、
    前記エッチング中にバイアス電圧を印加することを備える方法。
  15. 請求項13又は14に記載の方法であって、
    前記パッシベーションガスは、水蒸気又はアルコールの少なくとも一方を含む、方法。
  16. 請求項15に記載の方法であって、
    前記エッチングは、x≧1且つy≧1として、SiOxyを含有するパッシベーション層を、前記エッチングされている特徴の側壁上に形成することを含む、方法。
  17. 請求項15に記載の方法であって、
    前記エッチングは、n≧0、x≧1、y≧0で且つn及びyをともにゼロでないとして、SiCnxyを含有するパッシベーション層を、前記エッチングされている特徴の側壁上に形成することを含む、方法。
  18. 請求項13又は14に記載の方法であって、
    前記フッ素含有ガスは、SF6を含む、方法。
  19. パターン化マスクを通してシリコン層内に特徴をエッチングするための装置であって、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバエンクロージャを形成するチャンバ壁と、
    前記プラズマ処理チャンバエンクロージャ内において基板を支えるための基板サポートと、
    前記プラズマ処理チャンバエンクロージャ内の圧力を調整するための圧力調整器と、
    プラズマを維持するために前記プラズマ処理チャンバエンクロージャに電力を供給するための少なくとも1つの電極と、
    前記少なくとも1つの電極に電気的に接続された少なくとも1つのRF電力源と、
    前記プラズマ処理チャンバエンクロージャ内へガスを提供するためのガス入口と、
    前記プラズマ処理チャンバエンクロージャからガスを排出するためのガス出口と、
    を含むプラズマ処理チャンバと、
    前記ガス入口と流体接続しているエッチングガス源であって、
    フッ素含有ガス源と、
    酸素・水素含有ガス源と、
    を含むエッチングガス源と、
    前記ガス源、前記RFバイアス源、及び前記少なくとも1つのRF電力源に可制御式に接続されたコントローラであって、
    少なくとも1つのプロセッサと、
    コンピュータ可読媒体であって、
    前記シリコン層をエッチングするためのコンピュータ可読コードであって、
    前記フッ素含有ガス源から前記プラズマチャンバにフッ素含有ガスを流し込むためのコンピュータ可読コードと、
    前記酸素・水素含有ガス源から前記プラズマチャンバに酸素・水素含有ガスを流し込むためのコンピュータ可読コードと、
    前記フッ素含有ガス及び前記酸素・水素含有ガスからプラズマを形成するためのコンピュータ可読コードと、
    バイアス電圧を印加するためのコンピュータ可読コードと、
    前記シリコン層内に特徴をエッチングするためのコンピュータ可読コードと、
    前記フッ素含有ガス及び前記酸素・水素含有ガスを停止させるためのコンピュータ可読コードと、
    を含むコンピュータ可読コードを含むコンピュータ可読媒体と、
    を含むコントローラと、
    を備える装置。
  20. 請求項1〜3のいずれか一項に記載の方法であって、
    前記酸素・水素含有ガスは、水蒸気を含む、方法。
  21. 請求項20に記載の方法であって、
    前記エッチングは、x≧1且つy≧1として、SiOxyを含有するパッシベーション層を、前記エッチングされている特徴の側壁上に形成することを含む、方法。
  22. 請求項1〜3、及び、20〜21のいずれか一項に記載の方法であって、
    前記酸素・水素含有ガスは、アルコールを含む、方法。
  23. 請求項22に記載の方法であって、
    前記エッチングは、n≧0、x≧1、y≧0で且つn及びyをともにゼロでないとして、SiCnxyを含有するパッシベーション層を、前記エッチングされている特徴の側壁上に形成することを含む、方法。
  24. 請求項1〜3、及び、20〜23のいずれか一項に記載の方法であって、
    前記フッ素含有ガスは、SF6を含む、方法。
  25. 請求項24に記載の方法であって、
    前記フッ素含有ガスは、更に、SiF4を含む、方法。
  26. 請求項1〜3及び20〜25のいずれか一項に記載の方法であって、
    前記エッチングガスは、更に、O2を含む、方法。
  27. 請求項26に記載の方法であって、
    前記エッチングガスは、更に、CO2又はCOの少なくとも一方を含む、方法。
  28. 請求項1〜3、及び、20〜27のいずれか一項に記載の方法であって、
    前記エッチングガス及び前記酸素・水素含有ガスは、異なる場所に設けられた異なるガス入口から別々に前記エッチングチャンバに導入される、方法。
  29. 請求項13〜17のいずれか一項に記載の方法であって、
    前記フッ素含有ガスは、SF6を含む、方法。
JP2011533222A 2008-10-23 2009-10-09 プラズマ助長酸化を使用したパッシベーションを伴うシリコンエッチング方法及び装置 Expired - Fee Related JP5965641B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/257,210 2008-10-23
US12/257,210 US8173547B2 (en) 2008-10-23 2008-10-23 Silicon etch with passivation using plasma enhanced oxidation
PCT/US2009/060214 WO2010047976A2 (en) 2008-10-23 2009-10-09 Silicon etch with passivation using plasma enhanced oxidation

Publications (2)

Publication Number Publication Date
JP2012507144A true JP2012507144A (ja) 2012-03-22
JP5965641B2 JP5965641B2 (ja) 2016-08-10

Family

ID=42117930

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011533222A Expired - Fee Related JP5965641B2 (ja) 2008-10-23 2009-10-09 プラズマ助長酸化を使用したパッシベーションを伴うシリコンエッチング方法及び装置

Country Status (7)

Country Link
US (2) US8173547B2 (ja)
JP (1) JP5965641B2 (ja)
KR (1) KR101711671B1 (ja)
CN (2) CN105470126B (ja)
SG (1) SG195602A1 (ja)
TW (1) TWI506691B (ja)
WO (1) WO2010047976A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012507145A (ja) * 2008-10-23 2012-03-22 ラム リサーチ コーポレーション 化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置
JP2016189409A (ja) * 2015-03-30 2016-11-04 東京エレクトロン株式会社 薄膜の形成方法
WO2018193971A1 (ja) * 2017-04-17 2018-10-25 浜松ホトニクス株式会社 加工対象物切断方法

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
WO2009085672A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8658541B2 (en) * 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
JP5223878B2 (ja) * 2010-03-30 2013-06-26 株式会社デンソー 半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
WO2012122064A1 (en) * 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5913830B2 (ja) * 2011-04-21 2016-04-27 株式会社アルバック シリコン基板のエッチング方法
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP6207947B2 (ja) * 2013-09-24 2017-10-04 東京エレクトロン株式会社 被処理体をプラズマ処理する方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
KR102354460B1 (ko) 2015-02-12 2022-01-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP2017010993A (ja) * 2015-06-17 2017-01-12 東京エレクトロン株式会社 プラズマ処理方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) * 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9997366B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation Silicon oxide silicon nitride stack ion-assisted etch
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR20210065199A (ko) * 2018-10-26 2021-06-03 매슨 테크놀로지 인크 하드마스크의 제거를 위한 수증기 기반 불소 함유 플라즈마
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11646242B2 (en) 2018-11-29 2023-05-09 Qorvo Us, Inc. Thermally enhanced semiconductor package with at least one heat extractor and process for making the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210129656A (ko) 2019-01-23 2021-10-28 코르보 유에스, 인크. Rf 반도체 디바이스 및 이를 형성하는 방법
US20200235040A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
US20200235066A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7349861B2 (ja) * 2019-09-24 2023-09-25 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体
US11646289B2 (en) 2019-12-02 2023-05-09 Qorvo Us, Inc. RF devices with enhanced performance and methods of forming the same
US11923238B2 (en) 2019-12-12 2024-03-05 Qorvo Us, Inc. Method of forming RF devices with enhanced performance including attaching a wafer to a support carrier by a bonding technique without any polymer adhesive
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
US11232954B2 (en) 2020-03-16 2022-01-25 Tokyo Electron Limited Sidewall protection layer formation for substrate processing
WO2022186857A1 (en) * 2021-03-05 2022-09-09 Qorvo Us, Inc. Selective etching process for si-ge and doped epitaxial silicon
CN114171641A (zh) * 2021-11-30 2022-03-11 北京燕东微电子科技有限公司 氧化钒薄膜的刻蚀方法与半导体器件的制造方法
CN117438299B (zh) * 2023-12-21 2024-03-29 浙江集迈科微电子有限公司 Iii-v族化合物半导体材料的刻蚀方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08115899A (ja) * 1994-10-18 1996-05-07 Sony Corp シリコン系材料層のパターニング方法
JPH11111686A (ja) * 1997-10-01 1999-04-23 Nippon Telegr & Teleph Corp <Ntt> 低ガス圧プラズマエッチング方法
JP2007103876A (ja) * 2005-10-07 2007-04-19 Hitachi High-Technologies Corp エッチング方法およびエッチング装置
JP2007533139A (ja) * 2004-04-08 2007-11-15 アプライド マテリアルズ インコーポレイテッド インサイチュ膜スタック処理のための方法及び装置

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5843226A (en) 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
US6534409B1 (en) 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
JPH10256260A (ja) 1997-03-11 1998-09-25 Sony Corp 高融点金属系材料層を有するゲート電極の形成方法、及び高融点金属系材料層を有するゲート電極を備えた半導体装置の製造方法
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
JPH1197414A (ja) 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
US20020076935A1 (en) 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US5904520A (en) 1998-01-05 1999-05-18 Utek Semiconductor Corp. Method of fabricating a CMOS transistor
TW412792B (en) 1999-02-10 2000-11-21 Applied Materials Inc Etching back process for solving the plug loss
US6458648B1 (en) 1999-12-17 2002-10-01 Agere Systems Guardian Corp. Method for in-situ removal of side walls in MOM capacitor formation
US6491835B1 (en) 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
JP2001319925A (ja) 2000-05-12 2001-11-16 Chemitoronics Co Ltd プラズマエッチング装置
US6284666B1 (en) 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6387804B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. Passivation of sidewall spacers using ozonated water
US6680232B2 (en) 2000-09-22 2004-01-20 Fairchild Semiconductor Corporation Trench etch with incremental oxygen flow
EP1233449A3 (en) 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
US6743727B2 (en) 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP3527901B2 (ja) 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4504684B2 (ja) 2001-12-27 2010-07-14 東京エレクトロン株式会社 エッチング方法
US7129178B1 (en) 2002-02-13 2006-10-31 Cypress Semiconductor Corp. Reducing defect formation within an etched semiconductor topography
US7169255B2 (en) 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
DE10209763A1 (de) 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP4167542B2 (ja) * 2002-07-17 2008-10-15 積水化学工業株式会社 プラズマエッチング用ガス供給装置並びにプラズマエッチングシステム及び方法
US7556741B2 (en) * 2002-08-28 2009-07-07 Kyocera Corporation Method for producing a solar cell
JP4184851B2 (ja) 2003-03-31 2008-11-19 東京エレクトロン株式会社 プラズマ処理方法
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7348245B2 (en) 2003-04-28 2008-03-25 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6949460B2 (en) * 2003-11-12 2005-09-27 Lam Research Corporation Line edge roughness reduction for trench etch
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP4381963B2 (ja) 2003-11-19 2009-12-09 パナソニック株式会社 プラズマ処理装置
DE10361635B4 (de) 2003-12-30 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Abstandselements für ein Leitungselement durch anwenden einer Ätzstoppschicht, die durch eine stark richtungsgebundene Abscheidetechnik aufgebracht wird und Transistor mit Abstandselement
US7202170B2 (en) 2004-01-20 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving etching profile of floating gates for flash memory devices
US7285503B2 (en) 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
KR100801308B1 (ko) 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
KR100763514B1 (ko) 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법
US7829465B2 (en) * 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
US8124516B2 (en) * 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
WO2009085672A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08115899A (ja) * 1994-10-18 1996-05-07 Sony Corp シリコン系材料層のパターニング方法
JPH11111686A (ja) * 1997-10-01 1999-04-23 Nippon Telegr & Teleph Corp <Ntt> 低ガス圧プラズマエッチング方法
JP2007533139A (ja) * 2004-04-08 2007-11-15 アプライド マテリアルズ インコーポレイテッド インサイチュ膜スタック処理のための方法及び装置
JP2007103876A (ja) * 2005-10-07 2007-04-19 Hitachi High-Technologies Corp エッチング方法およびエッチング装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012507145A (ja) * 2008-10-23 2012-03-22 ラム リサーチ コーポレーション 化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置
JP2016189409A (ja) * 2015-03-30 2016-11-04 東京エレクトロン株式会社 薄膜の形成方法
WO2018193971A1 (ja) * 2017-04-17 2018-10-25 浜松ホトニクス株式会社 加工対象物切断方法
JP2018182142A (ja) * 2017-04-17 2018-11-15 浜松ホトニクス株式会社 加工対象物切断方法

Also Published As

Publication number Publication date
CN105470126B (zh) 2018-06-12
US8598037B2 (en) 2013-12-03
WO2010047976A3 (en) 2010-07-01
US20120100720A1 (en) 2012-04-26
KR20110084408A (ko) 2011-07-22
TWI506691B (zh) 2015-11-01
SG195602A1 (en) 2013-12-30
WO2010047976A2 (en) 2010-04-29
JP5965641B2 (ja) 2016-08-10
US20100105209A1 (en) 2010-04-29
CN102187435A (zh) 2011-09-14
KR101711671B1 (ko) 2017-03-02
TW201019391A (en) 2010-05-16
CN105470126A (zh) 2016-04-06
CN102187435B (zh) 2016-01-20
US8173547B2 (en) 2012-05-08

Similar Documents

Publication Publication Date Title
JP5965641B2 (ja) プラズマ助長酸化を使用したパッシベーションを伴うシリコンエッチング方法及び装置
JP5557843B2 (ja) 化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置
JP5081917B2 (ja) フッ素除去プロセス
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
KR101941827B1 (ko) 실리콘 식각을 위한 무기의 급속 교번 프로세스
TWI357094B (en) Reduction of feature critical dimensions
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
JP5254351B2 (ja) 酸化物スペーサを使用したピッチ低減
JP5631386B2 (ja) 高アスペクト比誘電体エッチングのための方法及び装置
CN103258729B (zh) 硅结构的制造和带有形貌控制的深硅蚀刻
TW201841256A (zh) 氫活化原子層蝕刻
US20190131135A1 (en) Method for etching features in a stack
US20080308526A1 (en) Minimization of mask undercut on deep silicon etch
TW201330088A (zh) 三維快閃結構用之蝕刻製程
KR20090107055A (ko) 에칭 동안 라인 말단 단축의 감소 방법
KR101605005B1 (ko) Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
TWI405265B (zh) 均勻控制的蝕刻
JP2012175105A (ja) 疑似ハードマスクのためのウィグリング制御
KR20060020621A (ko) 개선된 이중층 포토레지스트 패턴을 제공하는 방법
JP2008507137A (ja) 低誘電体のエッチング

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121005

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130813

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130820

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140325

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140723

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140723

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140820

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150928

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151104

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160412

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160704

R150 Certificate of patent or registration of utility model

Ref document number: 5965641

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees