JP2012507145A - 化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置 - Google Patents

化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置 Download PDF

Info

Publication number
JP2012507145A
JP2012507145A JP2011533224A JP2011533224A JP2012507145A JP 2012507145 A JP2012507145 A JP 2012507145A JP 2011533224 A JP2011533224 A JP 2011533224A JP 2011533224 A JP2011533224 A JP 2011533224A JP 2012507145 A JP2012507145 A JP 2012507145A
Authority
JP
Japan
Prior art keywords
silicon
gas
etching
chemical vapor
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011533224A
Other languages
English (en)
Other versions
JP5557843B2 (ja
Inventor
ウィニチェク・ヤロスロウ・ダブリュ.
ケビ・ロバート・ピー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2012507145A publication Critical patent/JP2012507145A/ja
Application granted granted Critical
Publication of JP5557843B2 publication Critical patent/JP5557843B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】シリコン層は、その上に形成されたパターン化マスクを通してエッチングチャンバを使用してエッチングされる。フッ素(F)含有エッチングガス及びシリコン(Si)含有化学気相蒸着ガスが、エッチングチャンバ内へ提供される。フッ素(F)含有エッチングガスは、シリコン層内に特徴をエッチングするために使用され、シリコン(Si)含有化学気相蒸着ガスは、特徴の側壁上にシリコン含有蒸着層を形成するために使用される。エッチングガス及び化学気相蒸着ガスからプラズマが生成され、バイアス電圧が印加される。プラズマを使用してシリコン層内に特徴がエッチングされ、エッチングされている特徴の側壁上にシリコン含有パッシベーション層が蒸着される。パッシベーション層中のシリコンは、主として化学気相蒸着ガスに由来する。エッチングガス及び化学気相蒸着ガスは、次いで、停止される。
【選択図】図1

Description

本発明は、半導体デバイスの形成に関する。本発明は、特に、シリコン材料内に特徴をエッチングすることに関する。
ビアホール及びトレンチなどの特徴は、異方性エッチングを使用してシリコン基板内に形成される。特徴の側壁を横方向のエッチングから保護して異方性エッチングを達成するために、側壁パッシベーションが使用される。シリコンエッチングプロセス中に正確な側壁を形成することによって、実質的に鉛直なプロファイルが得られる。エッチングガスは、通常、化学エッチング用のハロゲンガス(SF6など)と、パッシベーション用の酸素(O2)ガスとを含む。パッシベーション層は、通常、特徴の側壁の酸化によって形成されて酸化シリコンを含有する酸化物膜(SiOxをベースにした膜)である。パッシベーション層の組成は、エッチング化学剤及びマスク材料によって影響され得る。過剰な側壁パッシベーションは、ピンチオフを引き起こすことがあり、過少な側壁パッシベーションは、ボーイング又はアンダカット又はCD(限界寸法)劣化を引き起こすことがある。
シリコン基板内には、プラズマエッチングサイクルと蒸着(パッシベーション)サイクルとの交互の迅速な繰り返しを利用する「高速交互」プラズマエッチングプロセス(ガス調節プロセス)の使用によって、深い特徴も形成され得る。一般に、エッチングサイクル及び蒸着サイクルのための基本的なプロセスガスは、それぞれSF6及びC48である。方向性のエッチングを達成するために、C48パッシベーションサイクル中は、側壁保護ポリマ層が蒸着される。SF6エッチングサイクル中は、イオン助長エッチングによって水平面(ビアの底部など)からパッシベーションポリマが除去され、次いで、そうして露出された領域から、遊離フッ素によってシリコンが等方的にエッチングされる。
ガス調節プロセスでは、プラズマ処理リアクタに供給されるプロセスガスは、高速でオンオフ切り替えされ、その結果、プロセスは、ウエハからシリコンが除去されるエッチング状態から、ウエハ上に材料が蒸着されシリコンは除去されない蒸着状態へ、そして再びエッチング状態へ、素早く切り替わる。交互サイクルの継続時間は、通常、比較的短時間であり、シリコン基板内の所望の深さに到達するには、通常、多数のサイクルが必要とされる。
以上を達成するために、尚且つ本発明の目的にしたがって、一実施形態では、シリコン層を、その上に形成されたパターン化マスクを通してエッチングするための方法が提供される。シリコン層は、エッチングチャンバ内に置かれる。フッ素(F)含有エッチングガス及びシリコン(Si)含有化学気相蒸着ガスが、エッチングチャンバ内へ提供される。フッ素(F)含有エッチングガスは、シリコン層内に特徴をエッチングするために使用され、シリコン(Si)含有化学気相蒸着ガスは、特徴の側壁上にシリコン含有蒸着層を形成するために使用される。エッチングガス及び化学気相蒸着ガスからプラズマが生成され、バイアス電圧が印加される。プラズマを使用してシリコン層内に特徴がエッチングされ、エッチングされている特徴の側壁上にシリコン含有パッシベーション層が蒸着される。パッシベーション層中のシリコンは、主として化学気相蒸着ガスに由来する。エッチングガス及び化学気相蒸着ガスは、次いで、停止される。
発明の別の顕現では、シリコン層を、その上に形成されたパターン化マスクを通して下流プラズマを使用してエッチングする方法が提供される。シリコン層は、エッチングチャンバ内に置かれる。シリコン層内に特徴をエッチングするためのフッ素(F)含有エッチングガスが、上流プラズマチャンバ内へ提供される。上流プラズマチャンバ内において、エッチングガスからプラズマが生成される。プラズマからエッチングチャンバへ、反応媒体が流し込まれる。反応媒体がシリコン含有化学蒸気を含むように、シリコン(Si)含有化学気相蒸着ガスが、エッチングチャンバ内へ提供される。バイアス電圧が印加され、反応媒体を使用してシリコン層内に特徴がエッチングされる一方で、エッチングされている特徴の側壁上に、シリコン含有パッシベーション層が蒸着される。パッシベーション層中のシリコンは、主として化学気相蒸着ガスに由来する。反応媒体及び化学気相蒸着ガスのフローは、次いで、停止される。
発明の別の顕現では、パターン化マスクを通してシリコン層内に特徴をエッチングするための装置が提供される。装置は、プラズマ処理チャンバと、ガス源と、コントローラとを含む。プラズマ処理チャンバは、プラズマ処理チャンバエンクロージャを形成するチャンバ壁と、プラズマ処理チャンバエンクロージャ内において基板を支えるための基板サポートと、プラズマ処理チャンバエンクロージャ内の圧力を調整するための圧力調整器と、プラズマを維持するためにプラズマ処理チャンバエンクロージャに電力を供給するための少なくとも1つの電極と、該少なくとも1つの電極に電気的に接続された少なくとも1つのRF電力源と、プラズマ処理チャンバエンクロージャ内へガスを提供するためのガス入口と、プラズマ処理チャンバエンクロージャからガスを排出するためのガス出口とを含む。ガス源は、ガス入口と流体接続しており、エッチングガス源と、化学気相蒸着ガス源とを含む。コントローラは、ガス源、RFバイアス源、及び少なくとも1つのRF電力源に可制御式に接続され、少なくとも1つのプロセッサと、シリコン層をエッチングするためのコンピュータ可読コードを含むコンピュータ可読媒体とを含む。シリコン層をエッチングするためのコンピュータ可読コードは、(a)エッチングガス源からプラズマチャンバにフッ素(F)含有エッチングガスを流し込むためのコンピュータ可読コードと、(b)化学気相蒸着ガス源からプラズマチャンバにシリコン(Si)含有化学気相蒸着ガスを流し込むためのコンピュータ可読コードと、(c)エッチングガス及び化学気相蒸着ガスからプラズマを形成するためのコンピュータ可読コードと、(d)バイアス電圧を印加するためのコンピュータ可読コードと、(e)シリコン層内に特徴をエッチングするためのコンピュータ可読コードと、(f)パッシベーション層中のシリコンが主として化学気相蒸着ガスに由来するように、エッチングされている特徴の側壁上にシリコン含有パッシベーション層を蒸着させるためのコンピュータ可読コードと、(f)エッチングガス及び化学気相蒸着ガスを停止させるためのコンピュータ可読コードとを含む。
本発明のこれら及びその他の特徴は、発明の詳細な説明において、尚且つ添付の図面との関連のもとで、より詳しく以下で説明される。
添付の図面において、本発明は、限定的なものではなく例示的なものとして示され、図中、類似の参照符号は、同様の要素を指すものとする。
本発明の一実施形態にしたがった、シリコン層をエッチングするプロセスのハイレベルなフローチャートである。
本発明の一実施形態にしたがった、特徴をエッチングされているシリコン層の断面の一例を概略的に示す図である。
発明の一実施形態を実施するために使用され得るプラズマ処理システムの一例の概略図である。
発明の実施形態に使用されるコントローラを実装するのに適したコンピュータシステムを示す図である。
本発明の別の実施形態にしたがった、シリコン層をエッチングするプロセスのハイレベルなフローチャートである。
発明の一実施形態を実施するために使用され得る下流プラズマ処理システムの一例の概略図である。
次に、添付の図面に示されるような幾つかの好ましい実施形態を参照にして、本発明の詳細な説明が行われる。以下の説明では、本発明の完全な理解を可能にするために、多くの詳細が特定されている。しかしながら、当業者ならば明らかなように、本発明は、これらの一部又は全部の詳細を特定しなくても実施され得る。また、本発明を不必要に不明瞭にしないために、周知のプロセスステップ及び/又は構造の詳細な説明は省略される。
出願人らは、酸化物をベースにしたパッシベーション層を形成し、SF6又はNF3などのフッ素含有ガスを使用したシリコンエッチングプロセス中に特徴の側壁を保護するために、O2、SO2、CO2、COなどの酸素含有ガスをパッシベーションガスとして使用した。側壁パッシベーション層は、SiO2(O2が使用された場合)、SiOx(SO2が使用/追加された場合)、並びに/又はSiC若しくはSiOC(CO2及び/若しくはCOが使用/追加された場合)を含む。N2O又はNO2が使用/追加されてもよく、その場合、パッシベーション層は、SiN又はSiONを更に含むことになる。B26、BCl3などのその他のガスも追加されてよく、この場合、パッシベーション層は、SiOBN又はSiBNも含み得る。
これらのプロセスでは、酸化物パッシベーション層は、酸化剤とシリコン表面との反応を必要とするプラズマ助長酸化によって形成される。要するに、酸化物パッシベーション層は、シリコンを消費して酸化物にする酸化反応によって、シリコン特徴の表面から「成長」される。このような反応又は成長は、特定の時間を必要とする、すなわち時定数を有する。出願人は、大幅に小さい時定数でシリコンエッチング用のパッシベーション層を形成する新規の方法を見出した。
本発明の一実施形態にしたがうと、化学気相蒸着によって特徴の側壁上に材料を直接的に蒸着させることによって、シリコンエッチング用のパッシベーション層が形成される。このような蒸着は、特徴側壁の酸化又は表面反応を必要としないので、従来のパッシベーションよりも、時定数が大幅に小さく、そしてそれゆえにパッシベーション層が大幅に速く形成されると考えられる。本発明の一実施形態にしたがうと、化学気相蒸着を使用して、シリコン酸化物、シリコン窒化物、シリコン炭化物、シリコン酸窒化物などのシリコン含有誘電体層が蒸着される。表面成長ではなく化学気相蒸着によるパッシベーション層の形成は、より耐性に優れたパッシベーション層を提供すると考えられる。また、化学気相蒸着プロセスは、パッシベーション層のプロファイルを制御にあたり、より柔軟であるとも考えられる。
理解を促すために、図1は、発明の一実施形態で使用されるプロセスのハイレベルなフローチャートであり、ここで、シリコン層は、その上に形成されたパターン化マスクを通してエッチングチャンバを使用してエッチングされる。シリコン層を中に置かれたエッチングチャンバ内へ、フッ素(F)含有ガスを含むエッチングガス(フッ素含有エッチングガス)と、シリコン(Si)含有ガスを含む化学気相蒸着ガス(シリコン含有化学気相蒸着ガス)とが提供される(ステップ102)。特定の反応効果を提供するために、キャリアガス及び/又は希釈ガスが化学剤に追加されてよい。フッ素含有エッチングガスは、シリコン層内に特徴をエッチングするために提供される。例えば、フッ素含有エッチングガスは、SF6を含む。フッ素含有エッチングガスは、更に、SiF4を含んでよい。また、フッ素含有エッチングガスは、NF3又はCF4を含んでもよく、又は、SF6、NF3、SiF4、及び/若しくはCF4の組み合わせを含んでもよい。その他のハロゲン含有ガスが、エッチングガスに追加されてもよい。SiF4(添加物)は、シリコンを含んでいるが、エッチングガス中のSiF4は、特徴のボーイング又はアンダカットを抑える働きをするに過ぎない。添加物SiF4は、Si元素の均衡を移動させることによって特徴側壁からのSi消費を抑えるが、いかなるシリコン含有誘電体層も側壁上に蒸着させない。
シリコン含有化学気相蒸着ガス(シリコン含有ガス)は、特徴の側壁上にシリコン含有蒸着層を形成するために提供される。例えば、シリコン含有化学気相蒸着ガスは、シラン(例えばSiH4、より一般的にはSin2n+2)、シリケート、又はシロキサン(Rを水素原子又は炭化水素基としてR2SiO)の蒸気を含んでよい。好ましくは、化学気相蒸着ガスは、テトラエチルオルトシリケート(TEOS)蒸気又はオクタメチルシクロテトラシロキサン(OMCTS)蒸気を含む。化学気相蒸着ガスは、また、(1つ又は複数の)添加ガスを含んでもよい。例えば、化学蒸着ガスは、酸化物を蒸着させるためにシラン及び酸素を、又はシリコン窒化物及びシリコン酸窒化物を蒸着させるためにシラン若しくはシリケート若しくはシロキサン及びアンモニアを含んでよい。
化学気相蒸着ガスは、エッチングチャンバに導入される前に、フッ素含有エッチングガスと混合されてよい。或いは、エッチングガス及び化学気相蒸着ガスは、別々のガス入口からエッチングチャンバに導入されてよく、そのなかで、プラズマが生成される。
再び図1を参照すると、エッチングガス及び化学気相蒸着ガスからプラズマが生成される(ステップ104)。異方性エッチングを促進するために(すなわち、専ら水平面上のみをエッチングするために)、バイアス電圧が印加され(ステップ106)、プラズマを使用してシリコン層内に特徴がエッチングされる(ステップ108)。同時に、エッチングされている特徴の側壁上に、シリコン含有パッシベーション層(誘電体層)が蒸着される(ステップ110)。このようにして形成されたパッシベーション層は、x及びyをともにゼロでないとして、SiOxyを含んでいる。パッシベーション層中のシリコン原子が特徴自体のシリコン材料に由来する(すなわち、「内部」源である)従来の表面酸化とは対照的に、本発明の実施形態にしたがうと、パッシベーション層中のシリコン原子は、主として化学気相蒸着ガスに由来する(すなわち、「外部」源である)。本発明の一実施形態にしたがうと、パッシベーション層中のシリコン原子の50%以上が、化学気相蒸着ガスに由来する。好ましくは、パッシベーション層中のシリコン原子の80%以上が、化学気相蒸着ガスに由来する。更に好ましくは、パッシベーション層中のシリコン原子の95%以上が、化学気相蒸着ガスに由来する。
理解を促すために、図2は、特徴をエッチングされているシリコン層200の断面の一例を概略的に示している。シリコン層200は、シリコンウエハであってよい。シリコン材料は、結晶質シリコン、ポリシリコン、又は非晶質シリコンであってよい。シリコン材料は、ドープシリコン又は歪みシリコンであってもよい。シリコン層200の上に、シリコン材料上の特徴204を定めたパターン化マスク202が提供される。マスク202は、フォトレジスト(PR)マスク又はハードマスク(酸化物)であってよい。マスク202は、前のステップでエッチングされたであろう導電層及び/又は誘電体層などのその他の層もマスクの下に含んでよい(不図示)。シリコン材料内にエッチングされた特徴204は、図2に示されるように、鉛直な(すなわち、実質的に90度の)プロファイル角度を有するであろう。特徴204は、用途によっては先細のプロファイル(すなわち、角度が90度未満のプロファイル)を有するであろう。シリコンエッチングが、5ミクロンから800ミクロンに及ぶ深さに特徴がエッチングされるディープシリコンエッチングであるのに対して、完成されたCMOSデバイスの層の通常の厚さは、3〜5ミクロンである。例えば、特徴のアスペクト比は、少なくとも80であってよい、或いは、特徴の深さは、少なくとも80μmであってよい。しかしながら、本発明は、あらゆるタイプのシリコンエッチングに適用可能である。
エッチングプロセス中は、特徴204の側壁206上及び底部208上においてパッシベーションが発生する。バイアス電圧が印加されるので(図1のステップ106)、荷電粒子(イオン)は、特徴の底部208には衝突するが、側壁206には全く又はほとんど衝突しない。底部に衝突するイオンは、化学反応を促してエッチングをもたらす。したがって、側壁上では、パッシベーション層210の蒸着が、エッチングガスからのエッチャント(F)ラジカルから側壁206を保護し続ける。その一方で、特徴の底部208では、パッシベーション層210は、蒸着されると同時にイオン支援エッチングによって除去されるので、露出されたシリコンは、ラジカルによってエッチングされる。パッシベーション層は、従来のシリコン材料の表面酸化よりも大幅に速く形成されるので、エッチングプロセスは、より効率的に実施することができると考えられる。
パッシベーション層を使用して所望の特徴がエッチングされた後、エッチングガス及び化学気相蒸着ガスのフローは停止される(図1のステップ112)。
SF6などのフッ素含有ガスを含むエッチングガスと、例えばTEOS蒸気などのシリコン含有化学気相蒸着ガスとを使用した連続的な非交互エッチングプロセス(定常状態)によって、高いエッチング効率と所望のプロセス柔軟性とが達成され得る。プロセスが連続的であるのは、たとえプロセス中に供給ガスフローの設定点が変わっても(例えば、高い値から低い値へ一定の割合で減少しても、又はその逆に増加しても)、エッチングガスフローはオンオフ切り替えされず、むしろ、シリコン層200内に特徴204がエッチングされている間、ガス供給は継続してオン状態であり続けるからである。プロセスが非交互的であるのは、それが「エッチング」状態から「蒸着」状態に変化せず、むしろ、シリコンのエッチングとエッチングの抑制(パッシベーション)とがエッチングプロセス中に同時に生じるからである。このような連続プロセスでは、合計プロセス時間の100%にわたってシリコンが除去されているので、そのエッチング効率は、高速で交互するプロセスと比べて大幅に向上され得る。また、ガスフローは連続的であるので、ガスフローコントローラなどの標準的なハードウェアが使用されてよく、したがって、プロセスをサポートするために必要とされるシステムの費用及び複雑性が軽減される。
定常状態シリコンエッチングプロセスの一例は、2500WのTCP電力及び250Wのバイアス電圧で、SF6を含むエッチング及びOMCTS蒸気を含む化学気相蒸着ガスを使用する。プロセスガスフローは、80ミリトールで、900sccmのSF6、20sccmのOMCTS蒸気、並びに随意として100sccmのO2及び50sccmのSiF4を含んでよい。ウエハチャック温度は、0℃に設定される。
また、連続エッチングプロセスのプロセスパフォーマンス及び柔軟性は、連続エッチングプロセス中に、プラズマ電力、ウエハバイアス電力、プロセスチャンバ圧力などの代表的なプロセスパラメータを変化させることによって向上され得る。例えば、プラズマ電力供給及び/又はウエハバイアス電圧は、ウエハに到達する反応性プラズマ成分の中性対帯電比をバランスさせるために、オンオフ式に又は高低式にパルス生成されてよい。別の例では、プラズマ電力、ウエハバイアス電力、及び/又はプラズマ処理チャンバ内の圧力は、連続エッチングプロセス中に、高い値から低い値へ一定の割合で減少されてよい、又はその逆に増加されてよい。
図3は、本発明の一実施形態にしたがった、シリコン層をエッチングするプロセスを実施するために使用され得るプラズマ処理システム300の一例を概略的に示している。プラズマ処理システム300は、プラズマ処理チャンバ304を中に有するプラズマリアクタ302を含む。整合回路網308によって調整されるプラズマ電力供給部306は、プラズマ処理チャンバ304内にプラズマ314を発生させるために、窓312の近くに位置するTCPコイル(一次巻線変圧器)310に電力を供給する。コイル(上部電力源)310は、処理チャンバ304内に一様な拡散プロファイルを形成するように構成されてよい。例えば、TCPコイル310は、プラズマ314内にトロイダル電力分布を形成するように構成されてよい。窓312は、TCPコイル310をプラズマチャンバ304から隔てつつ、TCPコイル310からプラズマチャンバ304へのエネルギの通過を可能にするように提供される。整合回路網318によって調整されるウエハバイアス電圧電力供給部316は、電極320によって支えられているウエハ322上のバイアス電圧を設定するために、電極320に電力を供給する。コントローラ324は、プラズマ電力供給部306及びウエハバイアス電圧供給部316を設定する。
プラズマ電力供給部306及びウエハバイアス電圧電力供給部316は、例えば13.56MHzなどの特定の高周波数で動作するように構成されてよい。プラズマ電力供給部306及びウエハバイアス電力供給部316は、所望のプロセスパフォーマンスを達成するために所定範囲の電力を供給するように適切にサイズ決定されてよい。例えば、本発明の一実施形態では、プラズマ電力供給部306は、500〜6000ワットの範囲の電力を供給してよく、ウエハバイアス電圧電力供給部316は、20〜1000Vの範囲のバイアス電圧を供給してよい。また、TPCコイル310及び/又は電極320は、2つ又は3つ以上のサブコイル又はサブ電極からなってよく、これらは、1つの電力供給部によって又は複数の電力供給部によって電力供給されてよい。
図3に示されるように、プラズマ処理システム200は、更に、ガス源/ガス供給メカニズム330を含む。ガス源は、エッチングガス源332、化学気相蒸着ガス源334、及び随意としての追加のガス源336を含む。ガス源332、334、及び336は、ガス入口340を通じて処理チャンバ304と流体接続している。ガス入口340は、チャンバ304内において任意の好都合な場所に設けられてよく、単一ノズル又はシャワーヘッドなどの、ガスを注入するための任意の形態をとり得る。好ましくは、しかしながら、ガス入口340は、「可調整」ガス注入プロファイルを形成するように構成されてよく、これは、プロセスチャンバ304内の複数ゾーンへのそれぞれのガスフローの独立調整を可能にする。なお、図3は、1つのガス入口340のみを示しているが、エッチングガス及び化学気相蒸着ガスは、同じガス入口340からはもちろん、別々のガス入口(不図示)からプラズマチャンバに導入されてもよいことを留意されるべきである。別々のガス入口は、プロセスチャンバ304の異なる場所に設けられてよく、これらのガス入口の場所は、エッチングプロセス及び化学気相蒸着プロセスを最適化するべく調整されてよい。例えば、ガス入口の場所は、あらゆる外来の蒸着を抑えるように決定されてよい。本発明は、特定の設計又は構成を持つプロセスチャンバ304又はプラズマ処理システム300に限定されないことを留意されるべきである。プロセスガス及び副生成物は、圧力制御弁342及びポンプ344を通じてチャンバ304から除去され、これらは、プラズマ処理チャンバ304内を特定の圧力に維持する働きもする。ガス源/ガス供給メカニズム330は、コントローラ324によって制御される。
プラズマ処理システム300は、窓312全域における温度勾配を低減させるため及び窓312の全体的な動作温度を下げるための窓冷却システム(不図示)も含んでよい。
図4は、本発明の1つ又は複数の実施形態で使用され得る(図3における)コントローラ324を実装するのに適したコンピュータシステム400のブロック図を概略的に示している。コンピュータシステム400は、集積回路、プリント回路基板、及び小型の携帯用端末から巨大なスーパーコンピュータに及ぶ多くの物理的形態をとり得る。コンピュータシステム400では、システムバス420に、種々様々なサブシステムが取り付けられる。(1つ又は複数の)プロセッサ422(中央演算処理装置、すなわちCPUとも称される)は、システムメモリ424を含むストレージデバイスに接続される。メモリ424は、ランダムアクセスメモリ(RAM)及び読み出し専用メモリ(ROM)を含む。当該分野で周知のように、ROMは、CPUに対してデータ及び命令を単方向的に伝送する働きをし、RAMは、通常、データ及び命令を双方向的に伝送するために使用される。これらのメモリは、いずれのタイプも、後述される任意の適切な種類のコンピュータ可読媒体を含み得る。CPU422には、固定ディスク426も双方向的に接続され、これは、追加のデータストレージ容量を提供し、やはり、後述される任意のコンピュータ可読媒体を含み得る。固定ディスク426は、プログラムやデータなどを格納するために使用されてよく、通常は、一次ストレージよりも低速な二次ストレージ媒体(ハードディスクなど)である。なお、固定ディスク426内に保持される情報は、もし適切であれば、メモリ424内の仮想メモリとして標準的な形で組み入れ可能であることがわかる。コンピュータシステム400に対してデータを出し入れするために、ディスクドライブ428を通じて取り外し可能ディスク414が使用されてよい。取り外し可能ディスク414は、後述される任意のコンピュータ可読媒体の形態をとり得る。シリアルポート432を通じて、USBフラッシュドライブなどのポータブルメモリ434も使用されてよい。
CPU422は、ディスプレイ404、キーボード410、コンピュータマウスなどのユーザポインティングデバイス412、スピーカ430、及び入出力(I/O)コントローラ436などの様々な入出力デバイスにも接続される。一般に、入出力デバイスは、ビデオディスプレイ、トラックボール、マウス、キーボード、マイクロフォン、タッチセンサ式ディスプレイ、トランスデューサカード読み取り装置、磁気テープ若しくは紙テープ読み取り装置、タブレット、スタイラス、音声もしくは手書き文字認識装置、バイオメトリック読み取り装置、又は他のコンピュータの任意であってよい。CPU422は、ネットワークインターフェース440を使用して別のコンピュータまたは通信ネットワークに随意に接続されてよい。このようなネットワークインターフェースがあれば、CPU422は、上述された方法のステップを実施する過程において、ネットワークから情報を受信する、又はネットワークに情報を出力することができると考えられる。更に、本発明の方法の実施形態は、CPU422上のみで実行されてもよいし、或いは処理の一部を共有するリモートCPUと連携してインターネットなどのネットワークを通じて実行されてもよい。
コンピュータシステム400は、プラズマ処理システムを診断するために及びプラズマプロセスを制御するために、ガスフロー、圧力、温度、電力などの関連のプロセスデータを収集及び格納するように構成されてよい。
また、本発明の実施形態は、更に、コンピュータによって実行される各種の動作を実施するためのコンピュータコードを記録されたコンピュータ可読媒体を伴うコンピュータストレージ製品に関する。媒体およびコンピュータコードは、本発明の目的のために特別に設計及び構成されたものであってもよいし、或いはコンピュータソフトウェアの分野の当業者にとって周知で且つ利用可能なものであってもよい。コンピュータ可読媒体の具体例としては、ハードディスク、フロッピィディスク、及び磁気テープなどの磁気媒体、CD−ROM及びホログラフィックデバイスなどの光媒体、フロプティカルディスクなどの光磁気媒体、並びに特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、ROMデバイス、及びRAMデバイスなどの、プログラムコードの格納及び実行のために特別に構成されたハードウェアデバイスが、非限定的に挙げられる。コンピュータコードの例は、コンパイラによって生成されるなどのマシンコード、及びインタープリタを使用してコンピュータによって実行される高水準コードを含むファイルを含む。コンピュータ可読媒体は、搬送波に組み込まれたコンピュータデータ信号によって伝送され尚且つプロセッサによって実行可能な一連の命令を表すコンピュータコードであってもよい。
本発明の別の実施形態にしたがうと、下流プラズマチャンバは、シリコン層をエッチングするために使用され、化学気相蒸着ガスフローは、プラズマの下流に導入されてよい。図5は、発明の一実施形態で使用されるプロセスを概略的に示しており、ここで、シリコン層は、パターン化マスクを通して下流プラズマチャンバを使用してエッチングされる。図6は、本発明の本実施形態にしたがった、シリコン層をエッチングするプロセスを実施するために使用され得る下流プラズマ処理システム600の一例を概略的に示している。
図6に示されるように、下流プラズマ処理システム600は、エッチングチャンバ602と、プラズマチャンバ610とを含む。整合回路網608によって調整されるプラズマ電力供給部606は、エッチングチャンバ602の上に位置するプラズマチャンバ610に電力を供給する。プラズマチャンバ610は、RF電力又はマイクロ波を使用してプラズマ612を励起させ得る。ポート652を通して、プラズマ612からエッチングチャンバ602へ反応媒体(エッチャント媒体)650が流れ込む。ウエハベースプラズマ源及びバイアス電圧電力供給部616は、プラズマ及びバイアス電圧を提供する。整合回路網618によって調整される電力供給部616は、電極620によって支えられているウエハ622上のバイアス電圧を設定するために、電極620に電力を供給する。コントローラ624は、プラズマ電力供給部606、並びにウエハベースプラズマ源及びバイアス電圧電力供給部616を設定する。コントローラ624は、上述されたコンピュータシステム400(図4A及び図4B)を使用して実装され得る。また、ウエハベースプラズマ源及びバイアス電圧電力供給部616は、1つ又は複数の周波数を提供し得る。例えば、13.56MHz単独、27MHzと2MHz、及び27MHz以上(60MHzなど)と400kHzが使用されてよい。ウエハベースプラズマ源及びバイアス電圧電力供給部616は、2つの別々の発生器、すなわちプラズマ源としての高周波数発生器と、バイアス電圧源としてのより低周波数のものとを含んでよい。
下流プラズマ処理システム600は、更に、ガス源/ガス供給メカニズム630を含む。ガス源は、エッチングガス源632、化学気相蒸着ガス源636、及び随意としての追加のガス源634を含む。フッ素含有ガス源632及び追加のガス源(随意)634は、ガス入口614を通じてプラズマチャンバ610と流体接続している。エッチングガス源632は、別のガス入口640を通じてエッチングチャンバ602と流体接続している。ガス入口614及び640は、プラズマチャンバ610内及びエッチングチャンバ602内において任意の好都合な場所にそれぞれ設けられてよく、単一ノズル又はシャワーヘッドなどの、ガスを注入するための任意の形態をとり得る。好ましくは、しかしながら、ガス入口614及び640は、「可調整」ガス注入プロファイルを形成するように構成されてよく、これは、それぞれのガスフローの独立調整を可能にする。なお、ガス入口614及び640の場所は、図6に示された場所に限定されないことを留意されるべきである。ガス入口614及び640の場所は、エッチングプロセス及び化学気相蒸着プロセスを最適化するべく調整されてよい。例えば、ガス入口640の場所は、あらゆる外来の蒸着を抑えるように決定されてよい。本発明は、特定の設計又は構成を持つプロセスチャンバ602又はプラズマ処理システム600に限定されないことを留意されるべきである。プロセスガス及び副生成物は、圧力制御弁642及びポンプ644を通じてエッチングチャンバ602から除去され、これらは、エッチングチャンバ602内を特定の圧力に維持する働きもする。ガス源/ガス供給メカニズム630は、コントローラ624によって制御される。
図5に示されるように、フッ素含有エッチングガスが上流プラズマチャンバ610内へ提供され(ステップ502)、エッチングガスからプラズマ612が生成される(ステップ504)。エッチングガスは、先の実施形態と同様に、追加のガス源634から提供され得るその他の成分を含んでよい。プラズマ612からの反応媒体650が、エッチングチャンバ602に運び込まれる(ステップ506)。反応媒体650は、ラジカル及びイオンを含む。反応媒体650がシリコン含有ガス蒸気を含むように、エッチングチャンバ602に、シリコン含有化学気相蒸着ガス(例えばTEOS又はOMCTS)が入口640を通じて導入される(ステップ508)。チャンバ(ウエハベース)プラズマ電力及びバイアス電圧が印加され(ステップ510)、シリコン層内に特徴がエッチングされる(ステップ512)一方で、エッチングされている特徴の側壁上に、シリコン含有ガス蒸気からシリコン含有パッシベーション層(誘電体層)が蒸着される(ステップ514)。次いで、反応媒体及び化学気相蒸着ガスは、停止される(ステップ516)。
本発明の一実施形態にしたがうと、化学気相蒸着を使用したパッシベーションは、交互する蒸着ステップとエッチングステップとの繰り返しからなるガス調節プロセスに適用される。一般に、エッチングステップは、SF6を含有するエッチングガスを使用し、蒸着ステップは、C48を含む蒸着ガスを使用し、これは、C−C結合を含む炭素ベースのパッシベーション層を蒸着させる。出願人は、C−Si結合を生成して炭素ベースのパッシベーション層の特性を変化させるために、添加物として少量のSiF4を使用した。本発明の一実施形態にしたがった化学気相蒸着は、炭素ベースのパッシベーション層ではなくシリコン含有酸化物ベースのパッシベーション層を蒸着させるために、この蒸着ステップに適用することができる。例えばTEOS又はOMCTSなどのシリコン含有化学気相蒸着ガスは、C48蒸着ガスの代わりに使用される。或いは、高速に交互するプロセスと定常状態のプロセスとの混合プロセスが使用されてよい。この混合プロセスは、2つの段階(サブプロセス)の間で、すなわち、1)SF6(又は同様のシリコンエッチャント)に富み、幾らかのシリコンパッシベーション化合物を有する、全体的にエッチングの段階と、2)シリコンパッシベーションガスに富むが、低速エッチング用に幾らかのSF6を伴う、全体的に蒸着のプロセスとの間で交互する。シリコン含有化学気相蒸着の使用は、蒸着/パッシベーションステップの時間を短縮し、尚且つ/又は側壁プロファイルの更なる制御を可能にすると考えられる。
本発明は、幾つかの好ましい実施形態の観点から説明されているが、本発明の範囲に含まれるものとして、代替形態、置換形態、変更形態、及び代わりとなる各種の等価形態がある。また、本発明の方法及び装置を実現する多くの代替の方法があることも、留意されるべきである。したがって、以下の添付の特許請求の範囲は、本発明の真の趣旨及び範囲に含まれるものとして、このようなあらゆる代替形態、置換形態、及び代わりとなる各種の等価形態を含むものと解釈されることを意図される。

Claims (18)

  1. シリコン層が中に置かれたエッチングチャンバを使用して、前記シリコン層の上に形成されたパターン化マスクを通して前記シリコン層をエッチングする方法であって、
    前記シリコン層内に特徴をエッチングするためのフッ素(F)含有エッチングガス、及び、前記特徴の側壁上にシリコン含有蒸着層を形成するためのシリコン(Si)含有化学気相蒸着ガスを提供することと、
    前記エッチングガス及び前記化学気相蒸着ガスからプラズマを生成することと、
    バイアス電圧を印加することと、
    前記エッチングされている特徴の前記側壁上にシリコン含有パッシベーション層を蒸着させることであって、前記パッシベーション層中のシリコンは、主として前記化学気相蒸着ガスに由来する、ことと、
    前記エッチングガス及び前記化学気相蒸着ガスを停止させることと、
    を備える方法。
  2. 請求項1に記載の方法であって、
    前記シリコン含有パッシベーション層を蒸着させることは、前記パッシベーション層中のシリコン原子の大半が前記化学気相蒸着ガスに由来するように、前記シリコン含有パッシベーションを形成するために前記化学気相蒸着ガスからシリコン原子を提供することを含む、方法。
  3. 請求項2に記載の方法であって、
    前記パッシベーション層中、前記化学気相蒸着ガスに由来するシリコン原子は、前記パッシベーション層中の全シリコン原子の50%以上である、方法。
  4. 請求項3に記載の方法であって、
    前記パッシベーション層中、前記化学気相蒸着ガスに由来するシリコン原子は、前記パッシベーション層中の全シリコン原子の80%以上である、方法。
  5. 請求項4に記載の方法であって、
    前記パッシベーション層中、前記化学気相蒸着ガスに由来するシリコン原子は、前記パッシベーション層中の全シリコン原子の95%以上である、方法。
  6. 請求項1〜5のいずれか一項に記載の方法であって、
    前記シリコン含有パッシベーション層を蒸着させることは、x及びyをともにゼロでないとして、SiOxyを含有するパッシベーション層を蒸着させることを含む、方法。
  7. 請求項1〜5のいずれか一項に記載の方法であって、
    前記化学気相蒸着ガスは、テトラエチルオルトシリケート(TEOS)蒸気を含む、方法。
  8. 請求項1〜5のいずれか一項に記載の方法であって、
    前記化学気相蒸着ガスは、オクタメチルシクロテトラシロキサン(OMCTS)蒸気を含む、方法。
  9. 請求項1〜5のいずれか一項に記載の方法であって、
    前記化学気相蒸着ガスは、シラン蒸気、シリケート蒸気、又はシロキサン蒸気の少なくとも1つを含む、方法。
  10. 請求項1〜5のいずれか一項に記載の方法であって、
    前記シリコン層をエッチングする方法は、定常状態で実施される、方法。
  11. 請求項1〜5のいずれか一項に記載の方法であって、
    前記シリコン層をエッチングする方法は、交互する蒸着ステップとエッチングステップとを含むガス調整プロセスにおける蒸着ステップにおいて実施される、方法。
  12. シリコン層が中に置かれたエッチングチャンバを使用して、前記シリコン層の上に形成されたパターン化マスクを通して前記シリコン層をエッチングする方法であって、
    前記シリコン層内に特徴をエッチングするためのフッ素(F)含有エッチングガスを上流プラズマチャンバ内へ提供することと、
    前記上流プラズマチャンバ内において前記エッチングガスからプラズマを生成することと、
    前記プラズマから前記エッチングチャンバへ反応媒体を流し込むこと、
    前記反応媒体がシリコン含有化学蒸気を含むように、シリコン(Si)含有化学気相蒸着ガスを前記エッチングチャンバ内へ提供することと、
    バイアス電圧を印加することと、
    前記反応媒体を使用して前記シリコン層内に特徴をエッチングすることと、
    前記エッチングされている特徴の側壁上にシリコン含有パッシベーション層を蒸着させることであって、前記パッシベーション層中のシリコンは、主として前記化学気相蒸着ガスに由来する、ことと、
    前記反応媒体及び前記化学気相蒸着ガスのフローを停止させることと、
    を備える方法。
  13. パターン化マスクを通してシリコン層内に特徴をエッチングするための装置であって、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバエンクロージャを形成するチャンバ壁と、
    前記プラズマ処理チャンバエンクロージャ内において基板を支えるための基板サポートと、
    前記プラズマ処理チャンバエンクロージャ内の圧力を調整するための圧力調整器と、
    プラズマを維持するために前記プラズマ処理チャンバエンクロージャに電力を供給するための少なくとも1つの電極と、
    前記少なくとも1つの電極に電気的に接続された少なくとも1つのRF電力源と、
    前記プラズマ処理チャンバエンクロージャ内へガスを提供するためのガス入口と、
    前記プラズマ処理チャンバエンクロージャからガスを排出するためのガス出口と、
    を含むプラズマ処理チャンバと、
    前記ガス入口と流体接続しているガス源であって、
    エッチングガス源と、
    化学気相蒸着ガス源と、
    を含むガス源と、
    前記ガス源、前記RFバイアス源、及び前記少なくとも1つのRF電力源に可制御式に接続されたコントローラであって、
    少なくとも1つのプロセッサと、
    コンピュータ可読媒体であって、
    前記シリコン層をエッチングするためのコンピュータ可読コードであって、
    前記エッチングガス源から前記プラズマチャンバにフッ素(F)含有エッチングガスを流し込むためのコンピュータ可読コードと、
    前記化学気相蒸着ガス源から前記プラズマチャンバにシリコン(Si)含有化学気相蒸着ガスを流し込むためのコンピュータ可読コードと、
    前記エッチングガス及び前記化学気相蒸着ガスからプラズマを形成するためのコンピュータ可読コードと、
    バイアス電圧を印加するためのコンピュータ可読コードと、
    前記シリコン層内に特徴をエッチングするためのコンピュータ可読コードと、
    パッシベーション層中のシリコンが主として化学気相蒸着ガスに由来するように、前記エッチングされている特徴の前記側壁上にシリコン含有パッシベーション層を蒸着させるためのコンピュータ可読コードと、
    前記エッチングガス及び前記化学気相蒸着ガスを停止させるためのコンピュータ可読コードと、
    を含むコンピュータ可読コードを含むコンピュータ可読媒体と、
    を含むコントローラと、
    を備える装置。
  14. 請求項1〜6のいずれか一項に記載の方法であって、
    前記化学気相蒸着ガスは、テトラエチルオルトシリケート(TEOS)蒸気を含む、方法。
  15. 請求項1〜6及び14のいずれか一項に記載の方法であって、
    前記化学気相蒸着ガスは、オクタメチルシクロテトラシロキサン(OMCTS)蒸気を含む、方法。
  16. 請求項1〜6及び14〜15のいずれか一項に記載の方法であって、
    前記化学気相蒸着ガスは、シラン蒸気、シリケート蒸気、又はシロキサン蒸気の少なくとも1つを含む、方法。
  17. 請求項1〜6及び14〜16のいずれか一項に記載の方法であって、
    前記シリコン層をエッチングする方法は、定常状態で実施される、方法。
  18. 請求項1〜6及び14〜17のいずれか一項に記載の方法であって、
    前記シリコン層をエッチングする方法は、交互する蒸着ステップとエッチングステップとを含むガス調整プロセスにおける蒸着ステップにおいて実施される、方法。
JP2011533224A 2008-10-23 2009-10-09 化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置 Active JP5557843B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/257,215 US9018098B2 (en) 2008-10-23 2008-10-23 Silicon etch with passivation using chemical vapor deposition
US12/257,215 2008-10-23
PCT/US2009/060218 WO2010047978A2 (en) 2008-10-23 2009-10-09 Silicon etch with passivation using chemical vapor deposition

Publications (2)

Publication Number Publication Date
JP2012507145A true JP2012507145A (ja) 2012-03-22
JP5557843B2 JP5557843B2 (ja) 2014-07-23

Family

ID=42117929

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011533224A Active JP5557843B2 (ja) 2008-10-23 2009-10-09 化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置

Country Status (6)

Country Link
US (1) US9018098B2 (ja)
JP (1) JP5557843B2 (ja)
KR (1) KR101758932B1 (ja)
CN (1) CN102187437B (ja)
TW (1) TWI528447B (ja)
WO (1) WO2010047978A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114141997A (zh) * 2021-10-12 2022-03-04 维达力实业(深圳)有限公司 碳包覆含硅球体及其制备方法和应用
US12020942B2 (en) 2021-04-27 2024-06-25 Ulvac, Inc. Etching method

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009085672A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
EP2251454B1 (en) 2009-05-13 2014-07-23 SiO2 Medical Products, Inc. Vessel coating and inspection
JP5180121B2 (ja) * 2009-02-20 2013-04-10 東京エレクトロン株式会社 基板処理方法
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
JP5913830B2 (ja) * 2011-04-21 2016-04-27 株式会社アルバック シリコン基板のエッチング方法
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
JP6095678B2 (ja) 2011-11-11 2017-03-15 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 薬剤パッケージ用の不動態化、pH保護又は滑性皮膜、被覆プロセス及び装置
CN103159163B (zh) 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备
EP2846755A1 (en) 2012-05-09 2015-03-18 SiO2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
CA2890066C (en) 2012-11-01 2021-11-09 Sio2 Medical Products, Inc. Coating inspection method
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
CA2892294C (en) 2012-11-30 2021-07-27 Sio2 Medical Products, Inc. Controlling the uniformity of pecvd deposition on medical syringes, cartridges, and the like
CN103972155A (zh) * 2013-02-05 2014-08-06 中微半导体设备(上海)有限公司 一种在硅基底刻蚀通孔的方法
US20160015898A1 (en) 2013-03-01 2016-01-21 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
EP4234753A3 (en) 2013-03-11 2023-11-01 SiO2 Medical Products, Inc. Coated packaging
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US9761459B2 (en) * 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
CN108138316A (zh) 2015-08-18 2018-06-08 Sio2医药产品公司 具有低氧气传输速率的药物和其他包装
US10177002B2 (en) * 2016-04-29 2019-01-08 Applied Materials, Inc. Methods for chemical etching of silicon
US11056722B2 (en) * 2018-02-08 2021-07-06 International Business Machines Corporation Tool and method of fabricating a self-aligned solid state thin film battery
US10679853B2 (en) 2018-02-08 2020-06-09 International Business Machines Corporation Self-aligned, over etched hard mask fabrication method and structure
CN111627806A (zh) 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
CN112259474A (zh) * 2020-10-19 2021-01-22 上海华力集成电路制造有限公司 集成电路加工设备的等离子体源总成

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000509915A (ja) * 1997-02-20 2000-08-02 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング シリコン用の異方性のフッ素ベースのプラズマエッチング法
JP2003264227A (ja) * 2002-03-08 2003-09-19 Fuji Electric Co Ltd トレンチ形成方法
JP2008126374A (ja) * 2006-11-22 2008-06-05 Sumitomo Precision Prod Co Ltd 高アスペクト比の開口を有するシリコン構造体、その製造方法、その製造装置、及びその製造プログラム
JP2012507144A (ja) * 2008-10-23 2012-03-22 ラム リサーチ コーポレーション プラズマ助長酸化を使用したパッシベーションを伴うシリコンエッチング方法及び装置

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3353492B2 (ja) 1994-10-18 2002-12-03 ソニー株式会社 シリコン材料のパターニング方法
US5843226A (en) * 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
US6534409B1 (en) * 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
JPH10256260A (ja) 1997-03-11 1998-09-25 Sony Corp 高融点金属系材料層を有するゲート電極の形成方法、及び高融点金属系材料層を有するゲート電極を備えた半導体装置の製造方法
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
JPH1197414A (ja) 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
JPH11111686A (ja) 1997-10-01 1999-04-23 Nippon Telegr & Teleph Corp <Ntt> 低ガス圧プラズマエッチング方法
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US5904520A (en) * 1998-01-05 1999-05-18 Utek Semiconductor Corp. Method of fabricating a CMOS transistor
WO1999067817A1 (en) * 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
TW412792B (en) * 1999-02-10 2000-11-21 Applied Materials Inc Etching back process for solving the plug loss
US6458648B1 (en) * 1999-12-17 2002-10-01 Agere Systems Guardian Corp. Method for in-situ removal of side walls in MOM capacitor formation
US6491835B1 (en) * 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
JP2001319925A (ja) 2000-05-12 2001-11-16 Chemitoronics Co Ltd プラズマエッチング装置
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6387804B1 (en) * 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. Passivation of sidewall spacers using ozonated water
US6680232B2 (en) * 2000-09-22 2004-01-20 Fairchild Semiconductor Corporation Trench etch with incremental oxygen flow
US6821884B2 (en) * 2001-02-15 2004-11-23 Interuniversitair Microelektronica Centrum (Imec) Method of fabricating a semiconductor device
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP3527901B2 (ja) * 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
JP2005508078A (ja) * 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
AU2002367178A1 (en) * 2001-12-27 2003-07-15 Kabushiki Kaisha Toshiba Etching method and plasma etching device
US7129178B1 (en) * 2002-02-13 2006-10-31 Cypress Semiconductor Corp. Reducing defect formation within an etched semiconductor topography
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
DE10209763A1 (de) * 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP4184851B2 (ja) * 2003-03-31 2008-11-19 東京エレクトロン株式会社 プラズマ処理方法
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7348245B2 (en) * 2003-04-28 2008-03-25 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US20050145341A1 (en) * 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP4381963B2 (ja) 2003-11-19 2009-12-09 パナソニック株式会社 プラズマ処理装置
DE10361635B4 (de) * 2003-12-30 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Abstandselements für ein Leitungselement durch anwenden einer Ätzstoppschicht, die durch eine stark richtungsgebundene Abscheidetechnik aufgebracht wird und Transistor mit Abstandselement
US7202170B2 (en) * 2004-01-20 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving etching profile of floating gates for flash memory devices
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US7135396B1 (en) * 2004-09-13 2006-11-14 Spansion Llc Method of making a semiconductor structure
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4488999B2 (ja) 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR100763514B1 (ko) * 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법
US7829465B2 (en) * 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000509915A (ja) * 1997-02-20 2000-08-02 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング シリコン用の異方性のフッ素ベースのプラズマエッチング法
JP2003264227A (ja) * 2002-03-08 2003-09-19 Fuji Electric Co Ltd トレンチ形成方法
JP2008126374A (ja) * 2006-11-22 2008-06-05 Sumitomo Precision Prod Co Ltd 高アスペクト比の開口を有するシリコン構造体、その製造方法、その製造装置、及びその製造プログラム
JP2012507144A (ja) * 2008-10-23 2012-03-22 ラム リサーチ コーポレーション プラズマ助長酸化を使用したパッシベーションを伴うシリコンエッチング方法及び装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12020942B2 (en) 2021-04-27 2024-06-25 Ulvac, Inc. Etching method
CN114141997A (zh) * 2021-10-12 2022-03-04 维达力实业(深圳)有限公司 碳包覆含硅球体及其制备方法和应用
CN114141997B (zh) * 2021-10-12 2023-09-29 维达力实业(深圳)有限公司 碳包覆含硅球体及其制备方法和应用

Also Published As

Publication number Publication date
WO2010047978A3 (en) 2010-07-01
CN102187437B (zh) 2013-07-24
WO2010047978A2 (en) 2010-04-29
US9018098B2 (en) 2015-04-28
KR101758932B1 (ko) 2017-07-18
TWI528447B (zh) 2016-04-01
US20100105208A1 (en) 2010-04-29
KR20110074755A (ko) 2011-07-01
JP5557843B2 (ja) 2014-07-23
TW201017751A (en) 2010-05-01
CN102187437A (zh) 2011-09-14

Similar Documents

Publication Publication Date Title
JP5557843B2 (ja) 化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置
JP5965641B2 (ja) プラズマ助長酸化を使用したパッシベーションを伴うシリコンエッチング方法及び装置
KR101941827B1 (ko) 실리콘 식각을 위한 무기의 급속 교번 프로세스
TWI451496B (zh) 含鎢層之蝕刻微負載效應的控制方法
CN103258729B (zh) 硅结构的制造和带有形貌控制的深硅蚀刻
KR101555725B1 (ko) 마이크로로딩을 제어하기 위한 펄스화된 바이어스 플라즈마 프로세스
JP5254351B2 (ja) 酸化物スペーサを使用したピッチ低減
TWI559393B (zh) 三維快閃結構用之蝕刻製程
KR20090107055A (ko) 에칭 동안 라인 말단 단축의 감소 방법
TWI630653B (zh) 具有預蝕刻暫態調節之蝕刻過程

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121005

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130724

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131018

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140527

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140603

R150 Certificate of patent or registration of utility model

Ref document number: 5557843

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250