JP2000509915A - シリコン用の異方性のフッ素ベースのプラズマエッチング法 - Google Patents
シリコン用の異方性のフッ素ベースのプラズマエッチング法Info
- Publication number
- JP2000509915A JP2000509915A JP10536145A JP53614598A JP2000509915A JP 2000509915 A JP2000509915 A JP 2000509915A JP 10536145 A JP10536145 A JP 10536145A JP 53614598 A JP53614598 A JP 53614598A JP 2000509915 A JP2000509915 A JP 2000509915A
- Authority
- JP
- Japan
- Prior art keywords
- etching
- gas
- silicon
- plasma etching
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 56
- 238000001020 plasma etching Methods 0.000 title claims abstract description 24
- 239000010703 silicon Substances 0.000 title claims abstract description 23
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 23
- 229910052731 fluorine Inorganic materials 0.000 title claims description 18
- 239000011737 fluorine Substances 0.000 title claims description 18
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 title description 8
- 238000005530 etching Methods 0.000 claims abstract description 54
- 230000008569 process Effects 0.000 claims abstract description 24
- 239000000758 substrate Substances 0.000 claims abstract description 23
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 21
- 150000003377 silicon compounds Chemical class 0.000 claims abstract description 11
- 239000000376 reactant Substances 0.000 claims abstract description 10
- 239000011241 protective layer Substances 0.000 claims abstract description 7
- 239000000126 substance Substances 0.000 claims abstract description 3
- 239000007789 gas Substances 0.000 claims description 46
- 150000002500 ions Chemical class 0.000 claims description 22
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 18
- 229910052760 oxygen Inorganic materials 0.000 claims description 13
- 239000010410 layer Substances 0.000 claims description 12
- 239000001301 oxygen Substances 0.000 claims description 12
- 229910052757 nitrogen Inorganic materials 0.000 claims description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 10
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 10
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 9
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 8
- 238000000151 deposition Methods 0.000 claims description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 8
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 claims description 8
- 229910018503 SF6 Inorganic materials 0.000 claims description 5
- 230000008021 deposition Effects 0.000 claims description 5
- 238000004140 cleaning Methods 0.000 claims description 4
- 239000002245 particle Substances 0.000 claims description 3
- 230000007935 neutral effect Effects 0.000 claims description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 claims description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 claims description 2
- 229910004298 SiO 2 Inorganic materials 0.000 claims 3
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims 1
- 238000005468 ion implantation Methods 0.000 claims 1
- -1 fluorine radicals Chemical class 0.000 description 16
- 238000006243 chemical reaction Methods 0.000 description 13
- 238000002161 passivation Methods 0.000 description 8
- 239000003795 chemical substances by application Substances 0.000 description 7
- 150000003254 radicals Chemical class 0.000 description 7
- 229920000642 polymer Polymers 0.000 description 6
- 238000005755 formation reaction Methods 0.000 description 5
- 238000009616 inductively coupled plasma Methods 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 230000001133 acceleration Effects 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 3
- 239000002516 radical scavenger Substances 0.000 description 3
- 238000005215 recombination Methods 0.000 description 3
- 230000006798 recombination Effects 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 230000003628 erosive effect Effects 0.000 description 2
- 230000005284 excitation Effects 0.000 description 2
- OJCDKHXKHLJDOT-UHFFFAOYSA-N fluoro hypofluorite;silicon Chemical compound [Si].FOF OJCDKHXKHLJDOT-UHFFFAOYSA-N 0.000 description 2
- 238000010849 ion bombardment Methods 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 239000000178 monomer Substances 0.000 description 2
- 150000002831 nitrogen free-radicals Chemical class 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen(.) Chemical compound [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 239000002244 precipitate Substances 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910020776 SixNy Inorganic materials 0.000 description 1
- 229910020781 SixOy Inorganic materials 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 239000011575 calcium Substances 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000004069 differentiation Effects 0.000 description 1
- 238000003682 fluorination reaction Methods 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- 238000001556 precipitation Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 230000009291 secondary effect Effects 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
Abstract
Description
Claims (1)
- 【特許請求の範囲】 1. プラズマエッチングの前及び/又はプラズマエッチングの間に、側面で規 定された構造の側壁上に少なくとも1種のケイ素化合物からなる保護層を析出さ せる、シリコン基板中で側面で規定された構造の異方性プラズマエッチング法。 2. ケイ素化合物が酸化ケイ素又は窒化ケイ素又はオキシ窒化ケイ素である、 請求項1記載の方法。 3. 酸化ケイ素層又は窒化ケイ素層が、反応体としてエッチングガスに添加さ れたケイ素化合物及び酸素及び/又は窒素から析出される、請求項2記載の方法 。 4. エッチングガスに添加されたケイ素化合物がエッチングガスに相容性であ る、つまりエッチング薬品とは反応性でなく、酸素及び/又は窒素と反応性であ る、請求項1から3までのいずれか1項記載の方法。 5. エッチングガスに添加されたケイ素化合物がSiF4である、請求項1か ら4までのいずれか1項記載の方法。 6. エッチングガスにO2、N2O、NO、NOx、CO2又はN2を添加する、 請求項1から5までのいずれか1項記載の方法。 7. 反応体としてO2及び/又はN2を添加する請求 項1から6までのいずれか1項記載の方法。 8. 反応体のO2及び/又はN2をエッチングガスから供給する、請求項1から 7までのいずれか1項記載の方法。 9. プラズマエッチングのために使用したエッチングガスがフッ素を提供する ガス、有利にSF6又はNF3である、請求項1から8までのいずれか1項記載の 方法。 10. エッチングガスにSiO2消耗性ガス、特にCHF3、CF4、C2F6、 C3F6又はC4F8を連続的に添加する、請求項1から9までのいずれか1項記載 の方法。 11. SiO2消耗性ガスを短時間だけかつ周期的に供給し、このような清浄 化工程の間にエッチング底部を集中的に清浄化する、請求項1から10までのい ずれか1項記載の方法。 12. プラズマエッチングを、相互に別々のエッチング工程と析出工程で実施 し、その際、エッチング工程と析出工程とを交互に実施する、請求項1から11 までのいずれか1項記載の方法。 13. プラズマエッチングをイオン入射と同時に、有利に1〜100eV、有 利に30〜50eVのイオンエネルギーで実施する請求項1から12までのいず れか1項記載の方法。 14. プラズマエッチングのために使用した媒体が 10〜200sccmのガス流量及び1〜50μbar(0.1〜5Pa)のプ ロセス圧力を有する、請求項1から13までのいずれか1項記載の方法。 15. シリコン基板をプラズマエッチングの間に冷却する、請求項1から14 までのいずれか1項記載の方法。 16. プラズマエッチングをマイクロ波入射もしくは高周波入射(HF)によ り500から2000ワットの出力で行う、請求項1から15までのいずれか1 項記載の方法。 17. イオン密度、イオンエネルギー及び荷電された粒子(=イオン)対荷電 されていない粒子(=中性種)の関係を相互に独立して調整する、請求項1から 16までのいずれか1項記載の方法。 18. 六フッ化硫黄SF6のガス流量が20〜200sccmである、請求項 1から17までのいずれか1項記載の方法。 19. 四フッ化ケイ素SiF4のガス流量が10〜50sccmである、請求 項1から18までのいずれか1項記載の方法。 20. 酸素O2のガス流量が10〜100sccmである、請求項1から19 までのいずれか1項記載の方法。 21. SiO2消耗性ガス、特にC4F8のガス流量が2〜10sccmである 、請求項1から20まで のいずれか1項記載の方法。 22. C4F8を周期的に30〜60秒ごとに1回で、それぞれ5秒の時間にわ たり、30〜60sccmの流量で導入する、請求項1から21までのいずれか 1項記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE19706682A DE19706682C2 (de) | 1997-02-20 | 1997-02-20 | Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium |
DE19706682.8 | 1997-02-20 | ||
PCT/DE1998/000421 WO1998037577A1 (de) | 1997-02-20 | 1998-02-13 | Anisotropes fluorbasiertes plasmaätzverfahren für silicium |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2000509915A true JP2000509915A (ja) | 2000-08-02 |
JP4555404B2 JP4555404B2 (ja) | 2010-09-29 |
Family
ID=7820910
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP53614598A Expired - Lifetime JP4555404B2 (ja) | 1997-02-20 | 1998-02-13 | シリコン用の異方性のフッ素ベースのプラズマエッチング法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US6303512B1 (ja) |
EP (1) | EP0894338B1 (ja) |
JP (1) | JP4555404B2 (ja) |
KR (1) | KR100531560B1 (ja) |
DE (2) | DE19706682C2 (ja) |
WO (1) | WO1998037577A1 (ja) |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2009505381A (ja) * | 2005-08-08 | 2009-02-05 | シルバーブルック リサーチ ピーティワイ リミテッド | 基板に親水性トレンチをエッチングするのに適した方法 |
JP2012507145A (ja) * | 2008-10-23 | 2012-03-22 | ラム リサーチ コーポレーション | 化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置 |
JP2012146991A (ja) * | 2003-07-11 | 2012-08-02 | Infineon Technologies Ag | シリコン基板に凹部を異方性エッチングにより形成する方法およびプラズマエッチングシステムの使用方法 |
JP2012227334A (ja) * | 2011-04-19 | 2012-11-15 | Hitachi High-Technologies Corp | プラズマ処理方法 |
JP2013021258A (ja) * | 2011-07-14 | 2013-01-31 | Ulvac Japan Ltd | ドライエッチング方法及びドライエッチング装置 |
JP2013084695A (ja) * | 2011-10-06 | 2013-05-09 | Tokyo Electron Ltd | 半導体装置の製造方法 |
JP2015222818A (ja) * | 2015-07-01 | 2015-12-10 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
JP2016018794A (ja) * | 2014-07-04 | 2016-02-01 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
JP2016537830A (ja) * | 2013-11-06 | 2016-12-01 | 東京エレクトロン株式会社 | ガスパルスを用いる深掘りシリコンエッチングのための方法 |
US9865472B2 (en) | 2007-12-21 | 2018-01-09 | Lam Research Corporation | Fabrication of a silicon structure and deep silicon etch with profile control |
JP2020025070A (ja) * | 2018-07-30 | 2020-02-13 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
Families Citing this family (154)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE19841964B4 (de) * | 1998-09-14 | 2004-08-05 | Robert Bosch Gmbh | Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen |
KR100829288B1 (ko) * | 1998-12-11 | 2008-05-13 | 서페이스 테크놀로지 시스템스 피엘씨 | 플라즈마 처리장치 |
JP4221859B2 (ja) * | 1999-02-12 | 2009-02-12 | 株式会社デンソー | 半導体装置の製造方法 |
US6383938B2 (en) | 1999-04-21 | 2002-05-07 | Alcatel | Method of anisotropic etching of substrates |
JP3032203B1 (ja) * | 1999-04-28 | 2000-04-10 | 三菱電機株式会社 | デバイスの製造方法 |
DE19919469A1 (de) * | 1999-04-29 | 2000-11-02 | Bosch Gmbh Robert | Verfahren zum Plasmaätzen von Silizium |
US6294102B1 (en) * | 1999-05-05 | 2001-09-25 | International Business Machines Corporation | Selective dry etch of a dielectric film |
DE10051831A1 (de) * | 1999-07-20 | 2002-05-02 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas |
DE19933842A1 (de) | 1999-07-20 | 2001-02-01 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas |
DE19957169A1 (de) | 1999-11-27 | 2001-06-13 | Bosch Gmbh Robert | Plasmaätzverfahren mit gepulster Substratelektrodenleistung |
JP4161493B2 (ja) * | 1999-12-10 | 2008-10-08 | ソニー株式会社 | エッチング方法およびマイクロミラーの製造方法 |
KR100401348B1 (ko) * | 2000-06-30 | 2003-10-11 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 형성 방법 |
WO2002025714A1 (en) * | 2000-09-20 | 2002-03-28 | Infineon Technologies Sc300 Gmbh & Co. Kg | A process for dry-etching a semiconductor wafer surface |
KR100797385B1 (ko) | 2000-10-19 | 2008-01-24 | 로베르트 보쉬 게엠베하 | 유도 결합 플라즈마를 이용한 기판의 에칭 장치 및 방법 |
US20020158047A1 (en) * | 2001-04-27 | 2002-10-31 | Yiqiong Wang | Formation of an optical component having smooth sidewalls |
US20020158046A1 (en) * | 2001-04-27 | 2002-10-31 | Chi Wu | Formation of an optical component |
DE10130916B4 (de) * | 2001-06-27 | 2004-08-26 | Forschungsverbund Berlin E.V. | Verfahren zum anisotropen Strukturieren von Materialien |
US6555480B2 (en) | 2001-07-31 | 2003-04-29 | Hewlett-Packard Development Company, L.P. | Substrate with fluidic channel and method of manufacturing |
DE10209763A1 (de) * | 2002-03-05 | 2003-10-02 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers |
US6846747B2 (en) | 2002-04-09 | 2005-01-25 | Unaxis Usa Inc. | Method for etching vias |
US6554403B1 (en) | 2002-04-30 | 2003-04-29 | Hewlett-Packard Development Company, L.P. | Substrate for fluid ejection device |
US6981759B2 (en) | 2002-04-30 | 2006-01-03 | Hewlett-Packard Development Company, Lp. | Substrate and method forming substrate for fluid ejection device |
DE10234589A1 (de) * | 2002-07-30 | 2004-02-12 | Robert Bosch Gmbh | Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung |
US6924235B2 (en) * | 2002-08-16 | 2005-08-02 | Unaxis Usa Inc. | Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method |
DE10237787A1 (de) | 2002-08-17 | 2004-03-04 | Robert Bosch Gmbh | Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung |
JP2004087738A (ja) * | 2002-08-26 | 2004-03-18 | Tokyo Electron Ltd | Siエッチング方法 |
US7977390B2 (en) | 2002-10-11 | 2011-07-12 | Lam Research Corporation | Method for plasma etching performance enhancement |
US6833325B2 (en) | 2002-10-11 | 2004-12-21 | Lam Research Corporation | Method for plasma etching performance enhancement |
US7169695B2 (en) * | 2002-10-11 | 2007-01-30 | Lam Research Corporation | Method for forming a dual damascene structure |
DE10247913A1 (de) | 2002-10-14 | 2004-04-22 | Robert Bosch Gmbh | Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat |
WO2004036668A2 (en) * | 2002-10-17 | 2004-04-29 | Tel-Aviv University Future Technology Development L.P. | Thin-film cathode for 3-dimensional microbattery and method for preparing such cathode |
GB2396053B (en) * | 2002-10-23 | 2006-03-29 | Bosch Gmbh Robert | Device and process for anisotropic plasma etching of a substrate,in particular a silicon body |
DE10255988A1 (de) * | 2002-11-30 | 2004-06-17 | Infineon Technologies Ag | Verfahren zum Reinigen einer Prozesskammer |
US7294580B2 (en) * | 2003-04-09 | 2007-11-13 | Lam Research Corporation | Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition |
US6916746B1 (en) | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
DE502004007852D1 (de) | 2003-07-08 | 2008-09-25 | Infineon Technologies Ag | Integrierte kühl-schaltungsanordnung, betriebsverfahren und herstellungsverfahren |
US6910758B2 (en) | 2003-07-15 | 2005-06-28 | Hewlett-Packard Development Company, L.P. | Substrate and method of forming substrate for fluid ejection device |
DE10345402B4 (de) * | 2003-09-30 | 2005-10-13 | Infineon Technologies Ag | Verfahren zur Bearbeitung einer Halbleiterstruktur mit einer Vertiefung |
US20050073078A1 (en) | 2003-10-03 | 2005-04-07 | Markus Lutz | Frequency compensated oscillator design for process tolerances |
US7067434B2 (en) * | 2003-12-22 | 2006-06-27 | Texas Instruments Incorporated | Hydrogen free integration of high-k gate dielectrics |
US20050211668A1 (en) * | 2004-03-26 | 2005-09-29 | Lam Research Corporation | Methods of processing a substrate with minimal scalloping |
US7250373B2 (en) * | 2004-08-27 | 2007-07-31 | Applied Materials, Inc. | Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate |
US7459100B2 (en) * | 2004-12-22 | 2008-12-02 | Lam Research Corporation | Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate |
DE102005004365A1 (de) * | 2005-01-31 | 2006-08-10 | Infineon Technologies Ag | Verfahren zum Herstellen von vertikalen Leitstrukturen in einer integrierten Schaltungsanordnung und Schaltungsanordnung |
US7491647B2 (en) * | 2005-03-08 | 2009-02-17 | Lam Research Corporation | Etch with striation control |
US7241683B2 (en) * | 2005-03-08 | 2007-07-10 | Lam Research Corporation | Stabilized photoresist structure for etching process |
US7442274B2 (en) * | 2005-03-28 | 2008-10-28 | Tokyo Electron Limited | Plasma etching method and apparatus therefor |
DE102005015502B4 (de) * | 2005-03-31 | 2007-03-08 | Infineon Technologies Ag | Verfahren zum Ätzen von Vertiefungen in ein Siliziumsubstrat |
DE102005032737A1 (de) * | 2005-07-08 | 2007-01-11 | Infineon Technologies Ag | Ätzmittel und Verfahren zur Trockenätzung |
EP1786027A3 (en) * | 2005-11-14 | 2009-03-04 | Schott AG | Plasma etching of tapered structures |
US7910489B2 (en) * | 2006-02-17 | 2011-03-22 | Lam Research Corporation | Infinitely selective photoresist mask etch |
US20070202700A1 (en) * | 2006-02-27 | 2007-08-30 | Applied Materials, Inc. | Etch methods to form anisotropic features for high aspect ratio applications |
US7618748B2 (en) * | 2006-03-13 | 2009-11-17 | Tel Aviv University Future Technology Development L.P. | Three-dimensional microbattery |
DE102006052630A1 (de) * | 2006-10-19 | 2008-04-24 | Robert Bosch Gmbh | Mikromechanisches Bauelement mit monolithisch integrierter Schaltung und Verfahren zur Herstellung eines Bauelements |
KR100843236B1 (ko) * | 2007-02-06 | 2008-07-03 | 삼성전자주식회사 | 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법 |
FR2914782B1 (fr) * | 2007-04-04 | 2009-06-12 | St Microelectronics Sa | Procede de gravure profonde anisotrope de silicium |
US20080286978A1 (en) * | 2007-05-17 | 2008-11-20 | Rong Chen | Etching and passivating for high aspect ratio features |
JP4450245B2 (ja) | 2007-06-07 | 2010-04-14 | 株式会社デンソー | 半導体装置の製造方法 |
KR100925054B1 (ko) * | 2007-09-06 | 2009-11-03 | 주식회사 래디언테크 | 웨이퍼 식각 방법 |
US7704849B2 (en) | 2007-12-03 | 2010-04-27 | Micron Technology, Inc. | Methods of forming trench isolation in silicon of a semiconductor substrate by plasma |
CN101459039B (zh) * | 2007-12-13 | 2012-01-25 | 中芯国际集成电路制造(上海)有限公司 | 等离子体刻蚀的终点监测方法 |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US20110045351A1 (en) * | 2009-08-23 | 2011-02-24 | Ramot At Tel-Aviv University Ltd. | High-Power Nanoscale Cathodes for Thin-Film Microbatteries |
TWI416624B (zh) * | 2009-12-11 | 2013-11-21 | Advanced Micro Fab Equip Inc | An etching method for deep - through - hole |
US8384183B2 (en) | 2010-02-19 | 2013-02-26 | Allegro Microsystems, Inc. | Integrated hall effect element having a germanium hall plate |
WO2011154862A1 (en) | 2010-06-06 | 2011-12-15 | Ramot At Tel-Aviv University Ltd | Three-dimensional microbattery having a porous silicon anode |
CN101948494B (zh) * | 2010-09-14 | 2012-11-21 | 河北华荣制药有限公司 | 一种腺苷钴胺提取方法 |
CN102398887B (zh) * | 2010-09-14 | 2015-02-18 | 中微半导体设备(上海)有限公司 | 一种深孔硅刻蚀方法 |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
CN103789771A (zh) * | 2012-10-29 | 2014-05-14 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理方法 |
CN103972155A (zh) * | 2013-02-05 | 2014-08-06 | 中微半导体设备(上海)有限公司 | 一种在硅基底刻蚀通孔的方法 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
WO2017055984A1 (en) | 2015-09-30 | 2017-04-06 | Ramot At Tel Aviv University Ltd. | 3d micro-battery on 3d-printed substrate |
JP6524419B2 (ja) * | 2016-02-04 | 2019-06-05 | パナソニックIpマネジメント株式会社 | 素子チップの製造方法 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20200145096A (ko) | 2019-06-20 | 2020-12-30 | 세메스 주식회사 | 공정 가스 공급 장치 및 이를 구비하는 기판 처리 시스템 |
KR102406745B1 (ko) * | 2019-06-20 | 2022-06-07 | 세메스 주식회사 | 공정 가스 공급 장치 및 이를 구비하는 기판 처리 시스템 |
US11749532B2 (en) | 2021-05-04 | 2023-09-05 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH02181922A (ja) * | 1989-01-07 | 1990-07-16 | Sony Corp | シリコン系物質のドライエッチング方法 |
JPH02260424A (ja) * | 1989-03-30 | 1990-10-23 | Matsushita Electric Ind Co Ltd | ドライエッチング方法 |
JPH03129730A (ja) * | 1989-07-21 | 1991-06-03 | Sony Corp | ドライエッチング方法 |
JPH03142830A (ja) * | 1989-10-27 | 1991-06-18 | Oki Electric Ind Co Ltd | トレンチ形成方法 |
JPH04303929A (ja) * | 1991-01-29 | 1992-10-27 | Micron Technol Inc | シリコン基板をトレンチ・エッチングするための方法 |
JPH0582636A (ja) * | 1990-04-03 | 1993-04-02 | Internatl Business Mach Corp <Ibm> | 反応性イオン・エツチング用緩衝マスク |
JPH05118825A (ja) * | 1991-04-30 | 1993-05-14 | Internatl Business Mach Corp <Ibm> | トレンチ形成プロセスの現場及びオンラインの監視方法及び装置 |
JPH06163478A (ja) * | 1992-11-18 | 1994-06-10 | Nippondenso Co Ltd | 半導体のドライエッチング方法 |
JPH06188224A (ja) * | 1992-12-16 | 1994-07-08 | Nippon Motorola Ltd | エッチングガス |
JPH06349784A (ja) * | 1993-05-27 | 1994-12-22 | Robert Bosch Gmbh | 基板を異方性プラズマエッチングする方法および装置、および電子部品またはセンサー素子 |
JPH07503815A (ja) * | 1992-12-05 | 1995-04-20 | ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング | ケイ素の異方性エッチング法 |
JPH08330414A (ja) * | 1995-05-31 | 1996-12-13 | Sony Corp | Soi基板の製造方法 |
JPH0936091A (ja) * | 1995-07-20 | 1997-02-07 | Toshiba Corp | 半導体装置の製造方法 |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4855017A (en) * | 1985-05-03 | 1989-08-08 | Texas Instruments Incorporated | Trench etch process for a single-wafer RIE dry etch reactor |
US5354416A (en) * | 1986-09-05 | 1994-10-11 | Sadayuki Okudaira | Dry etching method |
JP2669460B2 (ja) * | 1986-10-29 | 1997-10-27 | 株式会社日立製作所 | エツチング方法 |
EP0368732B1 (en) * | 1988-11-04 | 1995-06-28 | Fujitsu Limited | Process for forming resist mask pattern |
US5429070A (en) * | 1989-06-13 | 1995-07-04 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
EP0414372A3 (en) * | 1989-07-21 | 1991-04-24 | Sony Corporation | Dry etching methods |
US5100505A (en) * | 1990-10-18 | 1992-03-31 | Micron Technology, Inc. | Process for etching semiconductor devices |
DE69224640T2 (de) * | 1991-05-17 | 1998-10-01 | Lam Res Corp | VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT |
DE4241453C2 (de) * | 1992-12-09 | 1995-04-20 | Daimler Benz Ag | Verfahren zum Plasmaätzen von Gräben in Silizium |
US5409563A (en) * | 1993-02-26 | 1995-04-25 | Micron Technology, Inc. | Method for etching high aspect ratio features |
DE4420962C2 (de) * | 1994-06-16 | 1998-09-17 | Bosch Gmbh Robert | Verfahren zur Bearbeitung von Silizium |
JP3351183B2 (ja) * | 1995-06-19 | 2002-11-25 | 株式会社デンソー | シリコン基板のドライエッチング方法及びトレンチ形成方法 |
US5843847A (en) * | 1996-04-29 | 1998-12-01 | Applied Materials, Inc. | Method for etching dielectric layers with high selectivity and low microloading |
-
1997
- 1997-02-20 DE DE19706682A patent/DE19706682C2/de not_active Expired - Lifetime
-
1998
- 1998-02-13 US US09/171,516 patent/US6303512B1/en not_active Expired - Lifetime
- 1998-02-13 WO PCT/DE1998/000421 patent/WO1998037577A1/de active IP Right Grant
- 1998-02-13 EP EP98912218A patent/EP0894338B1/de not_active Expired - Lifetime
- 1998-02-13 DE DE59814204T patent/DE59814204D1/de not_active Expired - Lifetime
- 1998-02-13 KR KR1019980708355A patent/KR100531560B1/ko not_active IP Right Cessation
- 1998-02-13 JP JP53614598A patent/JP4555404B2/ja not_active Expired - Lifetime
Patent Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH02181922A (ja) * | 1989-01-07 | 1990-07-16 | Sony Corp | シリコン系物質のドライエッチング方法 |
JPH02260424A (ja) * | 1989-03-30 | 1990-10-23 | Matsushita Electric Ind Co Ltd | ドライエッチング方法 |
JPH03129730A (ja) * | 1989-07-21 | 1991-06-03 | Sony Corp | ドライエッチング方法 |
JPH03142830A (ja) * | 1989-10-27 | 1991-06-18 | Oki Electric Ind Co Ltd | トレンチ形成方法 |
JPH0582636A (ja) * | 1990-04-03 | 1993-04-02 | Internatl Business Mach Corp <Ibm> | 反応性イオン・エツチング用緩衝マスク |
JPH04303929A (ja) * | 1991-01-29 | 1992-10-27 | Micron Technol Inc | シリコン基板をトレンチ・エッチングするための方法 |
JPH05118825A (ja) * | 1991-04-30 | 1993-05-14 | Internatl Business Mach Corp <Ibm> | トレンチ形成プロセスの現場及びオンラインの監視方法及び装置 |
JPH06163478A (ja) * | 1992-11-18 | 1994-06-10 | Nippondenso Co Ltd | 半導体のドライエッチング方法 |
JPH07503815A (ja) * | 1992-12-05 | 1995-04-20 | ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング | ケイ素の異方性エッチング法 |
JPH06188224A (ja) * | 1992-12-16 | 1994-07-08 | Nippon Motorola Ltd | エッチングガス |
JPH06349784A (ja) * | 1993-05-27 | 1994-12-22 | Robert Bosch Gmbh | 基板を異方性プラズマエッチングする方法および装置、および電子部品またはセンサー素子 |
JPH08330414A (ja) * | 1995-05-31 | 1996-12-13 | Sony Corp | Soi基板の製造方法 |
JPH0936091A (ja) * | 1995-07-20 | 1997-02-07 | Toshiba Corp | 半導体装置の製造方法 |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2012146991A (ja) * | 2003-07-11 | 2012-08-02 | Infineon Technologies Ag | シリコン基板に凹部を異方性エッチングにより形成する方法およびプラズマエッチングシステムの使用方法 |
JP2009505381A (ja) * | 2005-08-08 | 2009-02-05 | シルバーブルック リサーチ ピーティワイ リミテッド | 基板に親水性トレンチをエッチングするのに適した方法 |
US9865472B2 (en) | 2007-12-21 | 2018-01-09 | Lam Research Corporation | Fabrication of a silicon structure and deep silicon etch with profile control |
US9018098B2 (en) | 2008-10-23 | 2015-04-28 | Lam Research Corporation | Silicon etch with passivation using chemical vapor deposition |
JP2012507145A (ja) * | 2008-10-23 | 2012-03-22 | ラム リサーチ コーポレーション | 化学気相蒸着を使用したパッシベーションを伴うシリコンエッチング方法及び装置 |
JP2012227334A (ja) * | 2011-04-19 | 2012-11-15 | Hitachi High-Technologies Corp | プラズマ処理方法 |
JP2013021258A (ja) * | 2011-07-14 | 2013-01-31 | Ulvac Japan Ltd | ドライエッチング方法及びドライエッチング装置 |
JP2013084695A (ja) * | 2011-10-06 | 2013-05-09 | Tokyo Electron Ltd | 半導体装置の製造方法 |
JP2016537830A (ja) * | 2013-11-06 | 2016-12-01 | 東京エレクトロン株式会社 | ガスパルスを用いる深掘りシリコンエッチングのための方法 |
JP2016018794A (ja) * | 2014-07-04 | 2016-02-01 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
JP2015222818A (ja) * | 2015-07-01 | 2015-12-10 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
JP2020025070A (ja) * | 2018-07-30 | 2020-02-13 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
JP7209567B2 (ja) | 2018-07-30 | 2023-01-20 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
Also Published As
Publication number | Publication date |
---|---|
DE59814204D1 (de) | 2008-05-21 |
US6303512B1 (en) | 2001-10-16 |
KR20000064946A (ko) | 2000-11-06 |
KR100531560B1 (ko) | 2006-02-17 |
EP0894338B1 (de) | 2008-04-09 |
DE19706682C2 (de) | 1999-01-14 |
JP4555404B2 (ja) | 2010-09-29 |
DE19706682A1 (de) | 1998-08-27 |
WO1998037577A1 (de) | 1998-08-27 |
EP0894338A1 (de) | 1999-02-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4555404B2 (ja) | シリコン用の異方性のフッ素ベースのプラズマエッチング法 | |
US5382316A (en) | Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure | |
JP4090492B2 (ja) | ケイ素の異方性エッチング法 | |
US6127273A (en) | Process for anisotropic plasma etching of different substrates | |
JP2915807B2 (ja) | 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング | |
US6579806B2 (en) | Method of etching tungsten or tungsten nitride in semiconductor structures | |
US4784720A (en) | Trench etch process for a single-wafer RIE dry etch reactor | |
TWI518771B (zh) | Etching method | |
EP1047122A2 (en) | Method of anisotropic etching of substrates | |
JP2010283362A (ja) | 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程 | |
GB2290413A (en) | Processing silicon in a plasma etch system | |
US7166536B1 (en) | Methods for plasma etching of silicon | |
WO2002005317A2 (en) | Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures | |
Flamm et al. | VLSI Electronics, Microstructure Science, vol. 8 | |
WO2005055303A1 (ja) | プラズマエッチング方法 | |
JPWO2007094087A1 (ja) | ドライエッチング方法、微細構造形成方法、モールド及びその製造方法 | |
US5767017A (en) | Selective removal of vertical portions of a film | |
KR100218772B1 (ko) | 드라이에칭방법 | |
US6544896B1 (en) | Method for enhancing etching of TiSix | |
CN116097407A (zh) | 各向同性氮化硅移除 | |
Rangelow et al. | Chlorine or bromine chemistry in reactive ion etching Si-trench etching | |
CN108133888B (zh) | 一种深硅刻蚀方法 | |
JPH0121230B2 (ja) | ||
US6139647A (en) | Selective removal of vertical portions of a film | |
WO2023199371A1 (ja) | プラズマ処理方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050214 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20061024 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20070119 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070207 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20070305 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070724 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20071012 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20071119 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071122 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090310 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090610 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090717 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20090915 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100113 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20100128 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100519 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100526 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20100617 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20100716 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130723 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |