JP4555404B2 - シリコン用の異方性のフッ素ベースのプラズマエッチング法 - Google Patents

シリコン用の異方性のフッ素ベースのプラズマエッチング法 Download PDF

Info

Publication number
JP4555404B2
JP4555404B2 JP53614598A JP53614598A JP4555404B2 JP 4555404 B2 JP4555404 B2 JP 4555404B2 JP 53614598 A JP53614598 A JP 53614598A JP 53614598 A JP53614598 A JP 53614598A JP 4555404 B2 JP4555404 B2 JP 4555404B2
Authority
JP
Japan
Prior art keywords
etching
silicon
plasma etching
gas
sccm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP53614598A
Other languages
English (en)
Other versions
JP2000509915A (ja
Inventor
レーマー フランツ
シルプ アンドレア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Publication of JP2000509915A publication Critical patent/JP2000509915A/ja
Application granted granted Critical
Publication of JP4555404B2 publication Critical patent/JP4555404B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

先行技術
本発明は、請求項1の上位概念に従った、シリコン基板中の側面で規定された構造の異方性プラズマエッチング法から出発する。
シリコン基板中の構造、例えば凹部をプラズマエッチング法を用いて形成させることは公知である。さらに、例えば精密機械における適用にとって、フッ素化合物は異方性プラズマエッチングのために使用されるのは公知である。プラズマ中に生じるフッ素ラジカルは確かにシリコンに対して等方性に作用する、つまり側面(横方向)のエッチング速度が垂直方向のエッチング速度とほぼ等しく、このことは相応して大きなマスクアンダーカット及び角の丸いプロファイル形を生じさせる。フッ素化合物を使用したエッチング法を用いて、垂直な側壁を達成するために、付加的な予防措置を行い、側壁をエッチングの攻撃の前に選択的に保護し、エッチングを構造の底部、つまり凹部の底に限定しなければならない。凹部の側壁とエッチング底部との間の差別化は、エネルギーを有するイオンの著しく指向性の垂直方向への入射により実現され、このイオンは化学的に活性の中性ラジカルの他に同時にプラズマ中に産生される。このイオンは基板表面上に当たり、その際、エッチング底部は著しく、凹部の側壁はそれに対して比較的弱くイオンにより衝撃される。側壁のための保護メカニズムとしてポリマー形成するガス、例えばCHF3を使用することは公知であり、このガスはフッ素供給するエッチングガスと直接混合される。プラズマ中に存在するポリマー形成するモノマーから、側壁上にポリマー層が析出され、他方で、プラズマ中に産生されるフルオロラジカルは、同時にイオン入射によりポリマー層のないエッチング底部上でシリコン基板をエッチングする。プラズマ中で又はエッチングすべき基板へ向かう途中で、ポリマー形成する不飽和モノマーとフルオロラジカルとの間での集中的な再結合が生じることは不利であることは明らかである。この欠点を克服するために、プラズマエッチングをもっぱらフッ素を提供するガスを使用するエッチング工程と、もっぱら堆積ガス、例えばポリマー形成するガスを用いる堆積工程とに分けることにより、ポリマー形成する不飽和モノマーとシリコンをエッチングする能力のあるフルオロラジカルとの間の障害となる再結合を阻止することは公知である。この2つの使用されるガス種は、その使用を時間的に分離するために出会うことが無く、その結果重大な再結合は行われない。
プラズマ中にエッチングするフルオロラジカルの他に酸素ラジカルもしくは窒素ラジカルを使用し、これらのラジカルが側壁のシリコンを表面的に酸化ケイ素もしくは窒化ケイ素に変換させることにより、側壁をパッシベーションすることも公知である。誘電性表面はフルオロラジカルにより特にイオンアシストありで著しくエッチングされ、イオンアシストなしではあまりエッチングされないため、このエッチングはエッチング底部で主に進行し、側壁は比較的保護されたままである。この方法の主要な欠点は、表面的に生じる酸化ケイ素層もしくは窒化ケイ素層が原子的な厚さにすぎず、つまり1nm以下の範囲内にあることにある。表面的に生じる酸化ケイ素層又は窒化ケイ素層は従ってもはや緻密ではなく、不完全な保護を提供しているにすぎない。このことはプロセス制御を困難にするか又はプロセスの結果が二次的効果により著しく影響されることになる。形成すべき構造のプロファイル形は、側壁への攻撃及びそれによるマスク縁部のアンダーカットが常に存在するため、完全に垂直とはならない。このパッシベーションの有効性を向上させるために、極低温法を使用し、この場合、シリコン基板を−100℃を下回るまでの温度に深冷することにより酸素パッシベーション又は窒素パッシベーションに加えて側壁反応を凍結させる。この方法は米国特許第4943344号明細書に記載されている。高い装置的費用及びそれに関連するコスト並びにこの成分の比較的僅かな信頼性が欠点である。
発明の利点
本発明は、プラズマエッチングの前及び/又はその間に側面で規定された構造の側壁に、少なくとも1種のケイ素化合物からなる保護層を析出させる、側面で規定された構造の異方性プラズマエッチング法に関する。特に有利な実施態様において、本発明は側面で規定された構造の側壁上に、特にビーム、トレンチ、コーム又はタングの側壁上に酸化ケイ素層及び/又は窒化ケイ素層を析出させることが想定されている。この構造は有利にエッチングマスクにより定義されている。本発明による方法は、有利に厚い酸化ケイ素層又は窒化ケイ素層、つまり数nm〜10数nmの厚さの酸化ケイ素層又は窒化ケイ素層を構造の側壁上に生じさせる。この保護層はすでに室温でプラズマ中に生成されるラジカル、特に有利に使用されるフッ素ラジカルのエッチング攻撃に耐え、従って信頼性がありかつ障害を与えないエッチング工程が可能である。有利には、この方法はより低い基板温度でも実施可能であり、この場合基板の各温度では、垂直なエッチングプロファイルを達成する一定のパラメータ領域のガス組成を使用することができる。
本発明は有利に、プラズマ中にフッ素ラジカルを遊離するエッチングガスが、場合によりアルゴンと一緒の混合物として、六フッ化硫黄又は三フッ化窒素であることが想定されている。フッ素ラジカルを供給するエッチングガスに、保護層を生成する成分のオキシド形成剤及び/又はニトリド形成剤並びに副次的反応体を供給する。酸化物形成剤及び窒化物形成剤として、酸素O2、酸化二窒素N2O、他の窒素酸化物NO、NOx、二酸化炭素CO2、又は窒素N2が添加される。有利に、本発明はエッチングガスとしてNF3を使用する場合にその他の窒素形成剤を使用しない、それというのもエッチングガスNF3の分解の際に遊離する窒素が硝化(Nitrifizierung)のために利用されるためである。副次的反応体として、つまり保護層のケイ素成分を供給する化合物としては、有利に四フッ化ケイ素SiF4が使用される。副次的反応体、つまり有利にSiF4から、及び酸化物形成剤又は窒化物形成剤に由来する反応相手の酸素又は窒素から、本発明の場合に、構造の側壁上に反応生成物SiO2、Sixy又はSixyzからの混合物が析出する。副次的反応体SiF4はSF6分解から由来するフッ素ラジカルとは反応せず、もっぱら酸素又は窒素と反応し、その際、付加的にフッ素ラジカルが遊離する(SiF4+O2⇔SiO2+4F*;SiF4+xO⇔SiOx4-x+xF*)。
もちろん、本発明によるプラズマエッチングは、相互に別々のエッチング工程及び析出工程に分けることも可能であり、この場合、エッチング工程の間に単にエッチングし、析出工程の間に前記したようにケイ素化合物の析出を実施する。特に有利な方法において、エッチング工程と析出工程とを交互に実施する。
本発明による有利な酸化物形成剤又は窒化物形成剤の副次的反応体、例えば有利にSiF4に対する親和性はあまり十分ではないため、気相中で特に本発明により有利な低いプロセス圧力下で及び本発明により想定される方法条件下で、特にプラズマ中の過剰量の遊離フッ素ラジカルのもとで、酸化物形成剤又は窒化物形成剤と副次的反応体との間の反応は僅かに行われるだけである。それにより、有利に、例えば酸化物形成剤とSiF4とのSiO2への反応がすでに気相中で行われるのを妨げらる。このような反応が行われた場合、生成される固体は基板表面上に析出し、そこでエッチング底部の粗面化又は突起形成を伴うマイクロマスクを生じさせることがある。本発明により想定される低いプロセス圧力は、著しい自由行程長さにより気相中での反応の確率を減少させ、並びに狭いトレンチ中でのケイ素エッチングの場合のマイクロローディング効果を減少させる。
本発明は有利に、側面で規定された構造の側壁に、保護層として作用する耐エッチング性のケイ素化合物を析出させる。本発明による方法の進行において、連続的に活性化されたフッ化ケイ素化合物及び酸素ラジカル又は窒素ラジカル並びに高い割合のフッ素ラジカルがシリコン表面上に衝突する。この場合、有利に本発明により想定される集中的にはイオンの影響が及ぼされない箇所で、つまり側壁上に厚い誘電層が形成される。イオンにより衝撃された表面、つまり構造の底部、特に凹部の底部又はエッチング底部上ではフッ素ラジカルの影響及びエッチング反応が優位を占め、その結果その箇所でシリコン基板は削り取られる。従って、本発明は、特別な付加的手段なしで反応性フッ素ラジカルと相容性の、側面で規定された構造の側壁のためのパッシベーション系を提供する。
本発明は、特に有利な実施態様において、高密度プラズマ源を用いた方法、例えばPIE(伝播イオンエッチング)、ICP(誘導結合プラズマ)、ECR(電子サイクロトロン共鳴)により行われることが想定され、それにより低いエネルギーを有する高い流量のエッチング種及びパッシベーション種並びにイオンを使用することが可能である。それにより高いエッチング速度及び高いマスク選択性が達成され、このことは最終的に高いシリコン除去と同時に低いマスク材料除去を引き起こす。
本発明は、いわゆるSiO2消耗性ガス、例えばCHF3、C48、CF4、C26又はC36をガス混合物に添加することにより、有利にできる限りエッチング底部上に析出するケイ素化合物、有利にSiO2の浸食を促進するように想定されている。このSiO2消耗性ガスはその炭素含有量に基づき、同時にイオン作用下でSiO2を特に良好にエッチングする。このように、整然としたエッチング底部及び高いエッチング速度が達成される。さらに、エッチング底部上での突起形成が回避される。このスカベンジャーガス(スカベンジャー=オキシド消耗性)は、連続的にプラズマに一定の混合物として添加することができるか、又は時々又は短時間にわたり周期的に導入することができ、このフラッシュの間にエッチングガスの酸化物汚染を解消する。
本発明は、特に有利に、プラズマエッチングが同時のイオン入射下で実施され、その際、使用されるイオンエネルギーは1〜100eV、特に30〜50eVである。
有利に、プラズマエッチングのために使用される媒体は、1〜50μbarのプロセス圧力で10〜200sccmのガス流量を示す。
本発明は、他の有利な実施態様において、プラズマ発生をマイクロ波入射もしくは高周波入射により500〜2000Wの出力で実施される。
本発明は、特に、イオン密度、イオンエネルギー及び荷電された又は荷電されていない粒子の関係が相互に独立して調整されることを想定している。
特に有利な実施態様において、本発明はSF6のガス流を20〜200sccmに調節することを想定している。
本発明は、さらに、SiF4のガス流を10〜50sccmに調節することを想定している。
本発明はもう一つの実施態様において、酸素のガス流を10〜100sccmに、SiO2消耗性ガス、特にC48のガス量を連続的ガス量の場合2〜10sccmに調節することを想定している。パルス供給されたスカベンジャーガス流量の場合、この流量はより高く選択され、例えば30〜60秒毎に5秒間にわたりC48を30〜60sccmである。スカベンジャー流量のパルスは、この工程中に短い清浄化工程を挿入し、この清浄化工程は相応して高い流量で短時間に強力な清浄化効果をプロファイル形に悪い影響を与えずに発揮する。このスカベンジャーは短時間に適用されるだけであるため、エッチングプロファイルに不利な影響を与えることはないが、それにもかかわらずエッチング底部では良好に不純物が除去される。エッチング底部上では強力なイオン入射により不純物は、スカベンジャーが側壁(保護)酸化物の浸食を行うよりも急速に取り去られる。
本発明は、もう一つの実施態様において、イオン加速のために基板電極に5〜50Wの高周波出力をを準備し、これは20〜150Vの加速電圧に相当する。
図面
本発明を次に実施例において添付した図面について詳細に説明する。
図1は側面の構造を有するシリコン基板の断面図である。
図2はエッチングプロセスの原則の略図である。
図1は本発明によるプラズマエッチング法を用いて製造したシリコン基板中の構造を示す。
この図は、側壁3により規定された凹部2を有する基板を示す。さらにエッチング底部4並びにより狭い凹部4′が示されている。
シリコン基板1中に側面で規定される凹部2,2′の異方性プラズマエッチング法は、エッチングガスSF6を用いて、75sccmのガス流量(SF6)で実施した。酸化物形成剤としてO2を38sccmのガス流量で、副次的反応体としてSiF4を38sccmのガス流量で使用した。保護層、つまりケイ素化合物SiO2の析出はプラズマエッチングと同時に自発的に起こった。基板1の温度は10℃であった。プロセス圧力は20μbarであり及び使用したPIE源は650W(2.45GHz)のマイクロ波出力を供給した。硫黄アシスト電圧を生じさせるため、基板電極に5W(13.56MHz)の高周波出力を供給し、その際、イオン加速電圧(DCバイアス)は40Vであった。
図1は、前記した反応条件を用いて、有利な方法で基板中に凹部2,2′の垂直な側壁3が製造されたことを示す。特に有利な方法では、広い凹部2と狭い凹部2′との間に現れるエッチング速度差が僅かである。
図2において、エッチングプロセスの原理が示されている。同じ部分は図1と同様の符号が付けられている。エッチングプロセスにより側方の凹部2′が設けられた基板1が示されている。側壁パッシベーションのためにプラズマ中へ、プラズマ中に形成されるフッ素ラジカル及び正の荷電のイオンの他に、四フッ化ケイ素及び酸素が導入され、これらがフッ素に耐性の側壁パッシベーションを保証する。エッチング底部4では、イオンアシストによりケイ素とフッ素ラジカルとの揮発性四フッ化ケイ素への反応が行われ、この揮発性四フッ化ケイ素はエッチング底部を離れ、所望のエッチング反応が行われる。このエッチングは、エッチング底部4上で自発的に行われ、つまりそれ自体イオンアシストを必要としない。しかしながら、著しいイオン入射により、エッチング底部ではエッチングを阻止する二酸化ケイ素又はオキシフッ化ケイ素の形成が抑制される。
比較的僅かなイオン衝撃にさらされる側壁3では、それに対して四フッ化ケイ素と酸素とのエッチング耐性の酸化ケイ素又はオキシフッ化ケイ素への反応が行われ、これは側壁3上の皮膜として析出する。この場合、一部はエッチング底部上で形成されてトレンチを離れる四フッ化ケイ素が、側壁3上で皮膜形成する逆反応において消費され、これは図2において点線で示されている。側壁皮膜の構築のために必要な四フッ化ケイ素の大部分は、プラズマ化学物質から供給される、つまり四フッ化ケイ素はパッシベーションガスとして酸素と共にプラズマ中へ導入され、これは図2において実線により示されている。図2からわかるように、この皮膜形成反応の場合、SiF4からさらにフッ素が遊離し、これがさらにエッチング底部でのエッチング反応をアシストする。従って、マイクロローディング又はRIE−lag、つまり広いトレンチと比較して狭いトレンチ内でのエッチング速度の減少は、この化学の場合、比較的目立たず、それというのもトレンチ中で壁部皮膜形成反応により付加的にフッ素が形成されるためである。
もう一つの実施例において、ICP励起条件下で(ICP:誘導結合プラズマ)高周波励起を用いて次の有利なパラメータが見出された。流量は一定流量としてSF6 40sccm、O2 60sccm、SiF4 21sccm及びC48 5sccmであった。この圧力は15ミリトル=20μbarであり、ICP−高周波出力は13.56MHzで800W、並びに基板出力(バイアス出力)は13.56MHzで10〜15Wであった。バイアス電圧は40〜100Vに調節される。パルス供給されたC48流量の場合、次の流量に調節される:SF6 40sccm、O2 60sccm、SiF4 21sccm、C48 30〜60、有利に45sccm。この場合、C48は30〜60秒ごとに、有利に45秒ごとに1回5秒間の時間にわたり供給される。ICP−高周波出力はこの場合800Wであり、基板出力は12Wであった。

Claims (14)

  1. プラズマエッチングの前に又はプラズマエッチングの間に又はプラズマエッチングの前及びプラズマエッチングの間に、析出工程において側面で規定された構造の側壁上に少なくとも1種のケイ素化合物からなる保護層を析出させる、シリコン基板中で側面で規定された異方性プラズマエッチング法において、エッチングガスとしてSF6又はNF3を使用し、前記エッチングガスにケイ素化合物としてSiF4を、反応体としてO2、N2O、NO、NOx又はCO2を、SiO2消耗性ガスとしてCHF3、CF4、C26、C36又はC48を添加し、前記SiO2消耗性ガスを一時的にかつ周期的に供給し、このような清浄化工程の間にエッチング底部を集中的に清浄化し、前記保護層が二酸化ケイ素であることを特徴とする、シリコン基板中で側面で規定された異方性プラズマエッチング法。
  2. 反応体としてO2を添加する、請求項1記載の方法。
  3. 反応体としてさらにN2を添加する、請求項1又は2項記載の方法。
  4. 異方性プラズマエッチングを、相互に別々のエッチング工程と前記析出工程で実施し、その際、前記エッチング工程と前記析出工程とを交互に実施する、請求項1からまでのいずれか1項記載の方法。
  5. 異方性プラズマエッチングをイオン入射と同時に、1〜100eVのイオンエネルギーで実施する、請求項1からまでのいずれか1項記載の方法。
  6. 異方性プラズマエッチングのために使用した媒体が10〜200sccmのガス流量及び1〜50μbar(0.1〜5Pa)のプロセス圧力を有する、請求項1からまでのいずれか1項記載の方法。
  7. シリコン基板を異方性プラズマエッチングの間に冷却する、請求項1からまでのいずれか1項記載の方法。
  8. プラズマ発生をマイクロ波入射もしくは高周波入射(HF)により500〜2000ワットの出力で行う、請求項1からまでのいずれか1項記載の方法。
  9. イオン密度、イオンエネルギー及び荷電された粒子(=イオン)対荷電されていない粒子(=中性種)の関係を相互に独立して調整する、請求項1からまでのいずれか1項記載の方法。
  10. 六フッ化硫黄SF6のガス流量が20〜200sccmである、請求項1からまでのいずれか1項記載の方法。
  11. 四フッ化ケイ素SiF4のガス流量が10〜50sccmである、請求項1から10までのいずれか1項記載の方法。
  12. 酸素O2のガス流量が10〜100sccmである、請求項1から11までのいずれか1項記載の方法。
  13. 48のガス流量が2〜10sccmである、請求項1から12までのいずれか1項記載の方法。
  14. 48を周期的に30〜60秒ごとに1回で、それぞれ5秒の時間にわたり、30〜60sccmの流量で導入する、請求項1から13までのいずれか1項記載の方法。
JP53614598A 1997-02-20 1998-02-13 シリコン用の異方性のフッ素ベースのプラズマエッチング法 Expired - Lifetime JP4555404B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE19706682.8 1997-02-20
DE19706682A DE19706682C2 (de) 1997-02-20 1997-02-20 Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
PCT/DE1998/000421 WO1998037577A1 (de) 1997-02-20 1998-02-13 Anisotropes fluorbasiertes plasmaätzverfahren für silicium

Publications (2)

Publication Number Publication Date
JP2000509915A JP2000509915A (ja) 2000-08-02
JP4555404B2 true JP4555404B2 (ja) 2010-09-29

Family

ID=7820910

Family Applications (1)

Application Number Title Priority Date Filing Date
JP53614598A Expired - Lifetime JP4555404B2 (ja) 1997-02-20 1998-02-13 シリコン用の異方性のフッ素ベースのプラズマエッチング法

Country Status (6)

Country Link
US (1) US6303512B1 (ja)
EP (1) EP0894338B1 (ja)
JP (1) JP4555404B2 (ja)
KR (1) KR100531560B1 (ja)
DE (2) DE19706682C2 (ja)
WO (1) WO1998037577A1 (ja)

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19841964B4 (de) * 1998-09-14 2004-08-05 Robert Bosch Gmbh Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen
JP4714309B2 (ja) * 1998-12-11 2011-06-29 サーフィス テクノロジー システムズ ピーエルシー プラズマ加工装置
JP4221859B2 (ja) * 1999-02-12 2009-02-12 株式会社デンソー 半導体装置の製造方法
US6383938B2 (en) 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
JP3032203B1 (ja) * 1999-04-28 2000-04-10 三菱電機株式会社 デバイスの製造方法
DE19919469A1 (de) 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
US6294102B1 (en) * 1999-05-05 2001-09-25 International Business Machines Corporation Selective dry etch of a dielectric film
DE19933842A1 (de) * 1999-07-20 2001-02-01 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE10051831A1 (de) * 1999-07-20 2002-05-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas
DE19957169A1 (de) 1999-11-27 2001-06-13 Bosch Gmbh Robert Plasmaätzverfahren mit gepulster Substratelektrodenleistung
JP4161493B2 (ja) * 1999-12-10 2008-10-08 ソニー株式会社 エッチング方法およびマイクロミラーの製造方法
KR100401348B1 (ko) * 2000-06-30 2003-10-11 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
WO2002025714A1 (en) * 2000-09-20 2002-03-28 Infineon Technologies Sc300 Gmbh & Co. Kg A process for dry-etching a semiconductor wafer surface
KR100797385B1 (ko) 2000-10-19 2008-01-24 로베르트 보쉬 게엠베하 유도 결합 플라즈마를 이용한 기판의 에칭 장치 및 방법
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
US20020158046A1 (en) * 2001-04-27 2002-10-31 Chi Wu Formation of an optical component
DE10130916B4 (de) * 2001-06-27 2004-08-26 Forschungsverbund Berlin E.V. Verfahren zum anisotropen Strukturieren von Materialien
US6555480B2 (en) 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
DE10209763A1 (de) * 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US6554403B1 (en) 2002-04-30 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate for fluid ejection device
US6981759B2 (en) 2002-04-30 2006-01-03 Hewlett-Packard Development Company, Lp. Substrate and method forming substrate for fluid ejection device
DE10234589A1 (de) * 2002-07-30 2004-02-12 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
DE10237787A1 (de) 2002-08-17 2004-03-04 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
DE10247913A1 (de) 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
US20060032046A1 (en) * 2002-10-17 2006-02-16 Menachem Nathan Thin-film cathode for 3-dimensional microbattery and method for preparing such cathode
GB2396053B (en) * 2002-10-23 2006-03-29 Bosch Gmbh Robert Device and process for anisotropic plasma etching of a substrate,in particular a silicon body
DE10255988A1 (de) * 2002-11-30 2004-06-17 Infineon Technologies Ag Verfahren zum Reinigen einer Prozesskammer
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
DE502004007852D1 (de) 2003-07-08 2008-09-25 Infineon Technologies Ag Integrierte kühl-schaltungsanordnung, betriebsverfahren und herstellungsverfahren
DE10331526A1 (de) * 2003-07-11 2005-02-03 Infineon Technologies Ag Verfahren zum anisotropen Ätzen einer Ausnehmung in ein Siliziumsubstrat und Verwendung einer Plasmaätzanlage
US6910758B2 (en) 2003-07-15 2005-06-28 Hewlett-Packard Development Company, L.P. Substrate and method of forming substrate for fluid ejection device
DE10345402B4 (de) * 2003-09-30 2005-10-13 Infineon Technologies Ag Verfahren zur Bearbeitung einer Halbleiterstruktur mit einer Vertiefung
US20050073078A1 (en) * 2003-10-03 2005-04-07 Markus Lutz Frequency compensated oscillator design for process tolerances
US7067434B2 (en) * 2003-12-22 2006-06-27 Texas Instruments Incorporated Hydrogen free integration of high-k gate dielectrics
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
DE102005004365A1 (de) * 2005-01-31 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen von vertikalen Leitstrukturen in einer integrierten Schaltungsanordnung und Schaltungsanordnung
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7442274B2 (en) * 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
DE102005015502B4 (de) * 2005-03-31 2007-03-08 Infineon Technologies Ag Verfahren zum Ätzen von Vertiefungen in ein Siliziumsubstrat
DE102005032737A1 (de) * 2005-07-08 2007-01-11 Infineon Technologies Ag Ätzmittel und Verfahren zur Trockenätzung
US7481943B2 (en) * 2005-08-08 2009-01-27 Silverbrook Research Pty Ltd Method suitable for etching hydrophillic trenches in a substrate
EP1786027A3 (en) * 2005-11-14 2009-03-04 Schott AG Plasma etching of tapered structures
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20070202700A1 (en) * 2006-02-27 2007-08-30 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7618748B2 (en) * 2006-03-13 2009-11-17 Tel Aviv University Future Technology Development L.P. Three-dimensional microbattery
DE102006052630A1 (de) * 2006-10-19 2008-04-24 Robert Bosch Gmbh Mikromechanisches Bauelement mit monolithisch integrierter Schaltung und Verfahren zur Herstellung eines Bauelements
KR100843236B1 (ko) * 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
FR2914782B1 (fr) * 2007-04-04 2009-06-12 St Microelectronics Sa Procede de gravure profonde anisotrope de silicium
US20080286978A1 (en) * 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
JP4450245B2 (ja) 2007-06-07 2010-04-14 株式会社デンソー 半導体装置の製造方法
KR100925054B1 (ko) * 2007-09-06 2009-11-03 주식회사 래디언테크 웨이퍼 식각 방법
US7704849B2 (en) * 2007-12-03 2010-04-27 Micron Technology, Inc. Methods of forming trench isolation in silicon of a semiconductor substrate by plasma
CN101459039B (zh) * 2007-12-13 2012-01-25 中芯国际集成电路制造(上海)有限公司 等离子体刻蚀的终点监测方法
CN101903989B (zh) 2007-12-21 2013-04-17 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
US9018098B2 (en) 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20110045351A1 (en) * 2009-08-23 2011-02-24 Ramot At Tel-Aviv University Ltd. High-Power Nanoscale Cathodes for Thin-Film Microbatteries
TWI416624B (zh) * 2009-12-11 2013-11-21 Advanced Micro Fab Equip Inc An etching method for deep - through - hole
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
WO2011154862A1 (en) 2010-06-06 2011-12-15 Ramot At Tel-Aviv University Ltd Three-dimensional microbattery having a porous silicon anode
CN102398887B (zh) * 2010-09-14 2015-02-18 中微半导体设备(上海)有限公司 一种深孔硅刻蚀方法
CN101948494B (zh) * 2010-09-14 2012-11-21 河北华荣制药有限公司 一种腺苷钴胺提取方法
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5774356B2 (ja) * 2011-04-19 2015-09-09 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2013021258A (ja) * 2011-07-14 2013-01-31 Ulvac Japan Ltd ドライエッチング方法及びドライエッチング装置
JP2013084695A (ja) * 2011-10-06 2013-05-09 Tokyo Electron Ltd 半導体装置の製造方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103789771A (zh) * 2012-10-29 2014-05-14 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理方法
CN103972155A (zh) * 2013-02-05 2014-08-06 中微半导体设备(上海)有限公司 一种在硅基底刻蚀通孔的方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9054050B2 (en) 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6334296B2 (ja) * 2014-07-04 2018-05-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP5918886B2 (ja) * 2015-07-01 2016-05-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017055984A1 (en) 2015-09-30 2017-04-06 Ramot At Tel Aviv University Ltd. 3d micro-battery on 3d-printed substrate
JP6524419B2 (ja) * 2016-02-04 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) * 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7209567B2 (ja) * 2018-07-30 2023-01-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200145096A (ko) 2019-06-20 2020-12-30 세메스 주식회사 공정 가스 공급 장치 및 이를 구비하는 기판 처리 시스템
KR102406745B1 (ko) * 2019-06-20 2022-06-07 세메스 주식회사 공정 가스 공급 장치 및 이를 구비하는 기판 처리 시스템
US11749532B2 (en) 2021-05-04 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US5354416A (en) * 1986-09-05 1994-10-11 Sadayuki Okudaira Dry etching method
JP2669460B2 (ja) * 1986-10-29 1997-10-27 株式会社日立製作所 エツチング方法
EP0368732B1 (en) * 1988-11-04 1995-06-28 Fujitsu Limited Process for forming resist mask pattern
JPH02181922A (ja) * 1989-01-07 1990-07-16 Sony Corp シリコン系物質のドライエッチング方法
JPH02260424A (ja) * 1989-03-30 1990-10-23 Matsushita Electric Ind Co Ltd ドライエッチング方法
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
EP0414372A3 (en) * 1989-07-21 1991-04-24 Sony Corporation Dry etching methods
JP2876649B2 (ja) * 1989-07-21 1999-03-31 ソニー株式会社 ドライエッチング方法
JP2825878B2 (ja) * 1989-10-27 1998-11-18 沖電気工業株式会社 トレンチ形成方法
EP0450302A1 (en) * 1990-04-03 1991-10-09 International Business Machines Corporation Method of reactive ion etching trenches
US5100505A (en) * 1990-10-18 1992-03-31 Micron Technology, Inc. Process for etching semiconductor devices
JPH04303929A (ja) * 1991-01-29 1992-10-27 Micron Technol Inc シリコン基板をトレンチ・エッチングするための方法
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2734915B2 (ja) * 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
DE4241453C2 (de) * 1992-12-09 1995-04-20 Daimler Benz Ag Verfahren zum Plasmaätzen von Gräben in Silizium
JP2993303B2 (ja) * 1992-12-16 1999-12-20 モトローラ株式会社 エッチングガス
US5409563A (en) * 1993-02-26 1995-04-25 Micron Technology, Inc. Method for etching high aspect ratio features
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
DE4420962C2 (de) 1994-06-16 1998-09-17 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium
JPH08330414A (ja) * 1995-05-31 1996-12-13 Sony Corp Soi基板の製造方法
JP3351183B2 (ja) * 1995-06-19 2002-11-25 株式会社デンソー シリコン基板のドライエッチング方法及びトレンチ形成方法
JPH0936091A (ja) * 1995-07-20 1997-02-07 Toshiba Corp 半導体装置の製造方法
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading

Also Published As

Publication number Publication date
KR20000064946A (ko) 2000-11-06
EP0894338B1 (de) 2008-04-09
EP0894338A1 (de) 1999-02-03
JP2000509915A (ja) 2000-08-02
KR100531560B1 (ko) 2006-02-17
DE19706682C2 (de) 1999-01-14
WO1998037577A1 (de) 1998-08-27
DE19706682A1 (de) 1998-08-27
US6303512B1 (en) 2001-10-16
DE59814204D1 (de) 2008-05-21

Similar Documents

Publication Publication Date Title
JP4555404B2 (ja) シリコン用の異方性のフッ素ベースのプラズマエッチング法
EP1053563B1 (en) Methods for reducing mask erosion during plasma etching
US5501893A (en) Method of anisotropically etching silicon
JP4674368B2 (ja) シリコンの異方性エッチングのための方法
US5382316A (en) Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
US6071822A (en) Etching process for producing substantially undercut free silicon on insulator structures
US7785486B2 (en) Method of etching structures into an etching body using a plasma
US20070175856A1 (en) Notch-Free Etching of High Aspect SOI Structures Using A Time Division Multiplex Process and RF Bias Modulation
US5522966A (en) Dry etching process for semiconductor
JP4209774B2 (ja) シリコン基板のエッチング方法およびエッチング装置
US5346585A (en) Use of a faceted etch process to eliminate stringers
US7166536B1 (en) Methods for plasma etching of silicon
EP1299904A2 (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
WO2005055303A1 (ja) プラズマエッチング方法
US20230081352A1 (en) Pulsed Capacitively Coupled Plasma Processes
KR100218772B1 (ko) 드라이에칭방법
JP2007141918A (ja) ドライエッチング方法
WO2000052749A1 (en) Method for enhancing etching of titanium silicide
CN108133888B (zh) 一种深硅刻蚀方法
WO2023199371A1 (ja) プラズマ処理方法
JPH0121230B2 (ja)
WO2001048789A1 (en) Plasma processing methods
JP2607276B2 (ja) ドライエッチング方法
JPH0727889B2 (ja) プラズマ・エツチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061024

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070119

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070207

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070305

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070724

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071012

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071119

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090310

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090610

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090717

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090915

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100113

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100519

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100526

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100617

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100716

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130723

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term