CN102187437B - 使用化学气相沉积钝化的硅蚀刻 - Google Patents

使用化学气相沉积钝化的硅蚀刻 Download PDF

Info

Publication number
CN102187437B
CN102187437B CN200980141317.4A CN200980141317A CN102187437B CN 102187437 B CN102187437 B CN 102187437B CN 200980141317 A CN200980141317 A CN 200980141317A CN 102187437 B CN102187437 B CN 102187437B
Authority
CN
China
Prior art keywords
gas
silicon
etching
chemical vapour
vapour deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980141317.4A
Other languages
English (en)
Other versions
CN102187437A (zh
Inventor
雅罗斯瓦夫·W·温尼克泽克
罗伯特·P·谢比
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102187437A publication Critical patent/CN102187437A/zh
Application granted granted Critical
Publication of CN102187437B publication Critical patent/CN102187437B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

使用蚀刻室通过在硅层上形成的图案化掩模蚀刻该硅层。在所述蚀刻室中提供含氟(F)蚀刻气体和含硅(Si)化学气相沉积气体。所述含氟(F)蚀刻气体用于蚀刻特征到所述硅层,所述含硅(Si)化学气相沉积气体用于在所述特征的侧壁上形成含硅沉积层。由所述蚀刻气体和所述化学气相沉积气体产生等离子体,并提供偏压。使用所述等离子体蚀刻特征到所述硅层,在蚀刻的所述特征的侧壁上沉积含硅钝化层。所述钝化层中的硅主要来自所述化学气相沉积气体。然后停止所述蚀刻气体和所述化学气相沉积气体。

Description

使用化学气相沉积钝化的硅蚀刻
背景技术
本发明涉及半导体装置的形成。更具体地说,本发明涉及蚀刻特征到硅材料。
使用通过在特征的侧壁上形成钝化层保护特征的侧壁免于蚀刻反应影响的各向异性蚀刻,在硅衬底中形成通孔和沟槽等特征。蚀刻气体通常包含用于化学蚀刻的卤素气体(如SF6)和用于钝化的氧气(O2)。钝化层通常为特征侧壁氧化形成的含氧化硅(SiOx型膜)的氧化膜。钝化层的成分可被蚀刻化学和掩模材料影响。过多侧壁钝化可导致夹断,过少侧壁钝化可导致弓起、底切以及临界尺寸退化。
还可通过使用“快速交替”等离子体蚀刻处理(气体调制处理),采用等离子蚀刻周期和沉积(钝化)周期的快速重复交替,在硅衬底中形成深层特征。通常,SF6和C4F8气体分别为蚀刻和沉积周期的主要处理气体。在C4F8钝化周期中沉积侧壁保护聚合物层从而达到定向蚀刻。在SF6蚀刻周期中,通过离子增强蚀刻从水平面(如通孔底部)去除钝化聚合物,然后通过游离氟从暴露表面各向同性蚀刻硅。
在气体调制处理中,供应给等离子体处理反应器的处理气体快速开启和关闭,导致处理从去除晶片上硅的蚀刻条件快速变化到沉积材料到晶片上而不去除硅的沉积条件,然后再次回到蚀刻条件。交替周期的持续时间通常相对较短,在硅衬底中达到预期深度通常需要多个周期。
发明内容
为了实现上述并按照本发明的目的,在一个实施方式中,提供了通过在硅层上形成的图案化掩模蚀刻该硅层的方法。该硅层放置于蚀刻室中。在该蚀刻室中提供含氟(F)蚀刻气体和含硅(Si)化学气相沉积气体。该含氟(F)蚀刻气体用于蚀刻特征到硅层,该含硅(Si)化学气相沉积气体用于在特征的侧壁上形成含硅沉积层。由该蚀刻气体和该化学气相沉积气体产生等离子体,并提供偏压。使用该等离子体蚀刻特征到硅层,在蚀刻的特征的侧壁上沉积含硅钝化层。该钝化层中的硅主要来自化学气相沉积气体。然后停止该蚀刻气体和化学气相沉积气体。
在本发明的另一体现中,提供了使用下游等离子体通过在硅层上形成的图案化掩模蚀刻该硅层的方法。该硅层放置于蚀刻室中。提供含氟(F)蚀刻气体以蚀刻特征到上游等离子体室中的硅层。由该上游等离子体室中的蚀刻气体产生等离子体。使来自该等离子体的反应介质流入蚀刻室。提供含硅(Si)化学气相沉积气体进入蚀刻室使得该反应介质包括含硅化学蒸汽。提供偏压,使用该反应介质蚀刻特征到硅层,同时在蚀刻的特征的侧壁上沉积含硅钝化层。该钝化层中的硅主要来自化学气相沉积气体。然后停止流动反应介质和化学气相沉积气体。
在本发明的另一体现中,提供了通过图案化掩模蚀刻特征到硅层的装置。该装置包括等离子体处理室、气体源以及控制器。该等离子体处理室包括形成等离子体处理室外壳的室壁,在该等离子体处理室外壳内支撑衬底的衬底支撑件,调节该等离子体处理室外壳中压强的压强调节器,为该等离子体处理室外壳提供维持等离子体的电源的至少一个电极,电连接到该至少一个电极的至少一个射频电源,提供气体进入该等离子体处理室外壳的进气口,以及从该等离子体处理室外壳排放气体的出气口。与该进气口流体连接的气体源包括蚀刻气体源以及化学气相沉积气体源。控制器可控连接到气体源、射频偏压源以及至少一个射频电源,以及控制器包括至少一个处理器和具有蚀刻硅层的计算机可读代码的计算机可读介质。该蚀刻硅层的计算机可读代码包括(a)从该蚀刻气体源流动含氟(F)蚀刻气体进入该等离子体室的计算机可读代码,(b)从该化学气相沉积气体源流动含硅(Si)化学气相沉积气体进入等离子体室的计算机可读代码,(c)由该蚀刻气体和化学气相沉积气体形成等离子体的计算机可读代码,(d)提供偏压的计算机可读代码,(e)蚀刻特征到硅层的计算机可读代码,(f)在蚀刻的特征的侧壁上沉积含硅钝化层使得钝化层中的硅主要来自化学气相沉积气体的计算机可读代码,以及(f)停止该蚀刻气体和化学气相沉积气体的计算机可读代码。
在以下本发明的详细描述中将结合以下附图更具体地描述本发明这些和其他特征。
附图说明
本发明以附图中实施例的方式阐述,但并非以限定的方式,其中相似标识指代相似元素,其中:
图1为按照本发明的实施方式蚀刻硅层处理的高级流程图。
图2图示出按照本发明的实施方式蚀刻的特征的硅层横截面的实施例。
图3为可用于进行本发明的实施方式的等离子体处理系统的实施例示意图。
图4图示出适用于实施用于本发明的实施方式的控制器的计算机系统。
图5为按照本发明的另一实施方式蚀刻硅层处理的高级流程图。
图6为可用于进行本发明的实施方式的下游等离子体处理系统的实施例示意图。详细描述
本发明将参照附图所示一些优选实施方式进行详细描述。在以下描述中,记载了众多具体细节以透彻地理解本发明。但是,对于本领域技术人员显而易见的是无需部分或全部具体细节本发明也可实施。在其他例子中,众所周知的处理步骤和/或结构未进行详细描述以免不必要地使得本发明不清楚。
申请人使用含氧气体,如O2、SO2、CO2、CO作为钝化气体形成氧化型钝化层以在使用如SF6或NF3的含氟气体的硅蚀刻处理过程中保护特征侧壁。侧壁钝化层包含SiO2(如果使用了O2);SiOx(如果使用/添加了SO2);和/或SiC或SiOC(如果使用/添加了CO2和/或CO)。还可以使用或添加N2O或NO2,使得钝化层进一步包含SiN或SiON。还可以添加其他的气体,如B2H6,BCl3,其中钝化层还可包含SiOBN或SiBN。
在其他处理中,通过需要氧化剂和硅表面反应的等离子体增强氧化形成氧化物钝化层。即通过氧化反应氧化了硅,在硅特征的表面上“生成”氧化物钝化层。这种反应或生成需要一定时间。即具有时间常数。申请人发现形成硅蚀刻钝化层时间常数大大减小的新型方法。
按照本发明的一个实施方式,通过化学气相沉积在特征的侧壁上直接沉积材料从而形成硅蚀刻的钝化层。由于这种沉积无需特征侧壁的氧化或表面反应,所以据信时间常数大大变小,因此形成钝化层大大快于常规钝化。按照本发明的一个实施方式,使用化学气相沉积沉积含硅介电层,如氧化硅、硅氮化物、碳化硅、氮氧化硅、以及类似物。据信通过化学气相沉积而非表面生长形成钝化层提供更耐用的钝化层。同样,据信化学气相沉积处理控制钝化层的廓形更灵活。
为了便于理解,图1为本发明的实施方式所用工艺的高级流程图,其中使用蚀刻室通过图案化掩模蚀刻硅层。提供包含含氟(F)气体的蚀刻气体(含氟蚀刻气体)和包含含硅(Si)气体的化学气相沉积气体(含硅化学气相沉积气体)进入放置有硅层的蚀刻室(步骤102)。可添加载运气体和/或稀释气体到化学作用以提供一定反应效果。提供含氟蚀刻气体以蚀刻特征到硅层。例如,含氟蚀刻气体包含SF6。含氟蚀刻气体可进一步包含SiF4。此外,含氟蚀刻气体可为NF3或CF4,或SF6、NF3、SiF4和/或CF4的组合。还可添加其他含卤气体到蚀刻气体。应当注意的是,尽管SiF4(添加物)包含硅,在蚀刻气体中的SiF4只降低特征的弓起、底切。SiF4添加物通过Si原子的动态平衡降低特征侧壁中Si的消耗,但不在侧壁上沉积任何含硅介电层。
提供含硅化学气相沉积气体(含硅气体)在特征的侧壁上形成含硅沉积层。例如,含硅化学气相沉积气体可包含硅烷(例如,SiH4,更一般地,SinH2n+2)、硅酸酯、或硅氧烷(R2SiO,其中R为氢原子或烃基)的蒸汽。优选,化学气相沉积气体包括四乙基原硅酸酯(TEOS)蒸汽或八甲基环四硅氧烷(OMCTS)蒸汽。例如化学气相沉积气体还可包含添加剂气体。例如,化学沉积气体可包含沉积氧化物的硅烷和氧,或沉积氮化硅和氮氧化硅的硅烷或硅酸酯或硅氧烷和氨。
在被导入到蚀刻室之前,化学气相沉积气体可与含氟蚀刻气体预先混合。备选地,蚀刻气体和化学气相沉积气体可通过不同的进气口导入到产生等离子体的蚀刻室。
参照图1,由蚀刻气体和化学气相沉积气体产生等离子体(步骤104)。提供偏压(步骤106)以便于各向异性蚀刻(或仅仅在水平上蚀刻),以及使用等离子体蚀刻特征到硅层(步骤108)。同时在蚀刻的特征的侧壁上沉积含硅钝化层(介电层)(步骤110)。因此,所形成的钝化层包含SiOxCy,其中x和y不同时为0。相较传统表面氧化中钝化层中的硅原子来自特征本身的硅材料(即,“内”源),按照本发明的实施方式,钝化层中的硅原子来自化学气相沉积气体(即,“外”源)。按照本发明的一个实施方式,钝化层中50%或更多的硅原子源自化学气相沉积气体。优选,钝化层中80%或更多的硅原子源自化学气相沉积气体。更优选,钝化层中95%或更多的硅原子源自化学气相沉积气体。
为了便于理解,图2图示出蚀刻特征的硅层200横截面的实施例。硅层200可为硅晶片。硅材料可为晶体硅、多晶硅、或非晶硅。硅材料还可为掺杂或应变硅。在硅层200上方提供的图案化掩模202限定了在硅材料上的特征204。掩模202可为光刻胶(PR)掩模或硬模(氧化物)。掩模202还可包括掩模下的其他层,如可在之前步骤中被蚀刻的导电层和/或介电层(未示出)。蚀刻到硅材料中的特征204可有垂直(即大致90度)廓形角度,如图2所示。根据不同的应用,特征204可具有锥化廓形(即廓形角度小于90度)。硅蚀刻可为特征蚀刻深度范围从5微米到800微米的深层硅蚀刻,而竞争性的互补金属氧化物半导体设备的常规层厚度为3到5微米。例如,特征的深宽比可为至少80,或者,特征深度可为至少80微米。然而,本发明适用于任何类型的硅蚀刻。
在蚀刻处理过程中,钝化发生于侧壁206和特征204的底部208。由于提供了偏压(图1,步骤106),带电粒子(离子)轰击特征的底部208,但不或极少轰击侧壁206。因此,离子对底部的作用有利于引起蚀刻的化学反应。因此,钝化层210的沉积进一步保护侧壁206免于来自蚀刻气体的蚀刻剂(F)自由基的影响。另一方面,钝化层210在特征的底部208上沉积的同时,又被离子辅助蚀刻去除,通过自由基蚀刻暴露的硅。由于形成钝化层大大快于硅材料的常规表面氧化,据信进行蚀刻处理更高效。
在使用钝化层蚀刻预期特征后,停止蚀刻气体和化学气相沉积气体流(图1,步骤112)。
使用包括如SF6的含氟气体的蚀刻气体,和如TEOS蒸汽的含硅化学气相沉积气体,通过持续的、非交替的蚀刻处理(稳态)可实现高蚀刻效率和预期处理灵活性。处理为持续的,因为即使供应气体流量设定点可在处理过程中改变(例如,从较高值滑到较低值,反之亦然),但是并不开启和关闭蚀刻气流;或者说,在蚀刻特征204到硅层200时持续保持气体供应。处理为非交替的,因为其不发生从“蚀刻”条件到“沉积”条件的变化;或者说,在蚀刻处理过程中,硅的蚀刻和蚀刻的阻止(钝化)同时发生。这种持续处理的蚀刻效率在快速交替处理后可被显著提高,因为在100%总处理时间中,不断去除硅。此外,因为气流为持续的,所以可使用如气体流量控制器的标准硬件,从而降低系统支持该处理所需的成本和复杂性。
稳态硅蚀刻处理的一个实施例在2500W TCP功率、250V偏压下使用包括SF6的蚀刻气体和包含OMCTS的化学气相沉积气体。处理气流可包括900sccm SF6和20sccm OMCTS蒸汽,以及可选的80mTorr的100sccm O2和50sccm的SiF4。晶片吸盘温度设定为0℃。
此外,通过改变在持续蚀刻处理过程中的常规处理参数,如等离子体电源、晶片偏置电源、处理室压强或类似参数,可提高持续蚀刻处理的处理性能和灵活性。例如,可脉冲等离子体电源和/或晶片偏压使其在开/关或高/低模式间转换从而平衡到达晶片的中性与带电反应等离子体成分的比例。在另一实施例中,等离子体电源、晶片偏置电源和/或等离子体处理室中压强在持续蚀刻处理过程中可从较高值滑到较低值,反之亦然。
图3图示出按照本发明的一个实施方式可用于进行蚀刻硅层处理的等离子体处理系统300的实施例。等离子体处理系统300包括其中具有等离子处理室304的等离子体反应器302。匹配网络308所调频的等离子体电源306为靠近窗312的TCP线圈(变压器的主要线圈)310提供电源以在等离子体处理室304中产生等离子体314。TPC线圈(上电源)310可配置为在处理室304中产生均匀扩散分布。例如,TPC线圈310可配置为在等离子体314中产生环形电分布。提供窗312隔离TPC线圈310和等离子体室304但允许能量从TPC线圈310传递到等离子体室304。匹配网络318所调频的晶片偏压电源316为电极320提供电源以在电极320所支持的晶片322上设定偏压。控制器324为等离子体电源306和晶片偏压电源316设定点。
等离子体电源306和晶片偏压电源316可配置为在特定射频操作,例如13.56MHz。等离子体电源306和晶片偏压电源316可被制成合适大小以供应一定范围的电源从而达到预期处理性能。例如,在本发明的一个实施方式中,等离子体电源306可供应范围从500到6000Watt的电源,晶片偏压电源316可供应范围从20到1000V的偏压。此外,TPC线圈310和/或电极320可由单电源或多电源供电的两个或更多子线圈或子电极组成。
如图3所示,等离子体处理系统300进一步包括气体源/气体供给机制330。气体源包括蚀刻气体源332、化学气相沉积气体源334以及可选的附加气体源336。气体源332、334和336通过进气口340与处理室304流体连接。进气口340可位于室304内的任何优越位置,可采用任何形式如单喷嘴或喷气头注入气体。但是,优选进气口340可配置为产生“可调”气体注入模式,使得可在处理室304中独立调节各个气流到多个区域。应该注意的是,尽管图3只示出单个进气口340,但是蚀刻气体和化学气相沉积气体可通过不同的进气口(未示出)导入到处理室,也可通过同一进气口340。处理室304的不同位置提供有不同的进气口,可调整进气口的位置从而优化蚀刻和化学气相沉积处理。例如,可确定进气口的位置以便减少无关沉积。应当注意的是,本发明不限定处理室304或等离子体处理系统300的具体设计或配置。通过压强控制阀342和泵344去除室304中的处理气体和副产品,还可起到维持等离子体处理室304内特定压强的作用。通过控制器324控制气体源/气体供应机制330。
等离子体处理系统300还可包括窗口冷却系统(未示出)以降低跨窗口312的温度梯度和降低窗口312的整体运行温度。
图4图示出适用于实施用于本发明的一个或更多实施方式的控制器324(图3中)的计算机系统400的框图。计算机系统400可具有从集成电路、印制电路板以及小型手持设备到巨大超级计算机的多个物理形式。在计算机系统400中,各式各样的子系统连接到系统总线420。处理器422(也称中央处理单元,或CPU)连接到包括系统存储器424的存储设备。存储器424包括随机存取存储器(RAM)和只读存储器(ROM)。本领域公知,ROM起到单向定向传递数据和指令到CPU的作用,RAM通常用于以双向方式传递数据和指令。这两种类型的存储器可包括下述的任何适合的计算机可读介质。固定磁盘426同样双向连接到CPU 422;其提供附加数据存储容量并还可包括下述的任何计算机可读介质。固定磁盘426可用于存储程序、数据等等,是慢于主存储器的常规辅助存储介质(如硬盘)。值得重视的是,固定磁盘426内保存的信息,在适当情况下,可纳入如存储器424中虚拟内存的标准方式。移动磁盘414可通过磁盘驱动428用于传递数据到计算机系统400或从计算机系统400传递数据。移动磁盘414可采用下述的任何计算机可读介质形式。如USB闪存驱动的便携式存储器434还可通过串行端口432使用。
CPU 422也连接到各式各样的输入/输出设备,如显示器404、键盘410、如计算机鼠标的用户指向设备412、音箱430以及输入/输出(I/O)控制器436。通常,输入/输出设备可为任何:视频显示器、轨迹球、鼠标、键盘、麦克风、触控显示器、传感读卡器、磁性或纸带读卡器、图形输入装置、记录针、语音或手写识别器、生物识别读卡器或其他计算机。CPU 422可连接到使用网络端口440的另一台计算机或电信网络。通过该网络端口,预期CPU 422在进行上述方法步骤的过程中可收到源于网络的信息或输出信息到网络。此外,本发明的方法实施方式可单独在CPU 422上执行或在网络上(如结合了部分处理分享的远程CPU的互联网)执行。
为了诊断等离子体处理系统和控制等离子体处理,计算机系统400可配置为收集和存储如气体流量、压强、温度、功率等等相关处理数据。
此外,本发明的实施方式进一步涉及具有计算机可读介质的计算机存储产品,计算机可读介质上具有计算机代码用于执行各式各样的计算机实施操作。介质和计算机代码可为特别设计用于实现本发明的目的,或者被计算机软件领域技术人员公知并容易获得的。有形的计算机可读介质的例子包括但不限于:如硬盘、软盘以及磁带的磁性介质;如CD-ROM和全息装置的光学介质;如光磁软盘的磁光介质;以及如特定应用集成电路(ASICs)、可编程逻辑器件(PLDs)以及ROM和RAM装置等特别配置为存储和执行程序代码的硬件装置。计算机代码的例子包括如由编译器产生的机器代码和包含由计算机使用解译器执行的高级代码的文件。计算机可读介质还可为包含在载波中的计算机数据信号所传递的、代表处理器所执行的指令序列的计算机代码。
按照本发明的一个实施方式,下游等离子体室被用于蚀刻硅层,化学气相沉积气流可被导入等离子体的下游。图5图示出本发明的实施方式中使用下游等离子体室通过在硅层上形成图案化掩模蚀刻硅层的处理。图6图示出按照本发明的一个实施方式可用于进行蚀刻硅层处理的下游等离子体处理系统600的示例。
如图6所示,下游等离子处理系统600包括蚀刻室602和等离子室610。匹配网络608所调频的等离子体电源606为位于蚀刻室602上的等离子体室610提供电源。等离子体室610使用射频电源或微波激发等离子体612。反应介质(蚀刻剂介质)650通过孔652从等离子体612流入蚀刻室602。晶片型的等离子体源和偏压电源616提供等离子体和偏压。匹配网络618所调频的电源616为电极620提供电源以在电极620所支持的晶片622上设定偏压。控制器624为等离子体电源606和晶片型的等离子体源和偏压电源616设定点。使用上述计算机系统400(图4A和4B)可实施控制器624。此外,晶片型的等离子体源和偏压电源616可提供一个或更多频率。例如,可使用单13.56MHz、27MHz和2MHz、以及27MHz或更高(如60MHz)和400kHz。晶片型的等离子体源和偏压电源616可包括两个独立发动机:作为等离子体源的较高频率发动机,以及作为偏压源的另一个较低频率发动机。
下游等离子体处理系统600进一步包括气体源/气体供给机制630。气体源包括蚀刻气体源632、化学气相沉积气体源636以及可选的附加气体源634。含氟气体源632和附加气体源634(可选的)通过进气口614与等离子体室610流体连接。蚀刻气体源632通过另一进气口640与蚀刻室602流体连接。进气口614和640分别可位于等离子体室610和蚀刻室602中的任何优越位置,可采用任何形式注入气体,如单喷嘴或喷气头。但是,优选进气口614和640可配置为产生“可调”气体注入模式,使得独立调节各个气流。应当注意的是,图6所示并不限定进气口614和640的位置。为了优化蚀刻和化学气相沉积处理,可调整进气口614和640的位置。例如,可确定进气口640的位置以便减少任何无关沉积。值得注意的是,本发明不限定处理室602或等离子体处理系统600的具体设计或配置。通过压强控制阀642和泵644去除蚀刻室602中的处理气体和副产品,还可起到维持等离子体处理室602内特定压强的作用。通过控制器624控制气体源/气体供应机制630。
如图5所示,提供含氟蚀刻气体到上游等离子体室610(步骤502),由蚀刻气体产生等离子体612(步骤504)。蚀刻气体可包含由附加气体源634所提供的类似以上实施方式的其他成分。运输源自等离子体612的反应介质650到蚀刻室602(步骤506)。反应介质650包含自由基和离子。通过进气口640导入含硅化学气相沉积气体(例如,TEOS或OMCTS)到蚀刻室602(步骤508)使得反应介质650包含含硅气体蒸汽。提供室(晶片型)等离子体电源和偏压(步骤510),蚀刻特征到硅层(步骤512),而从含硅气体蒸汽沉积含硅钝化层(介电层)到蚀刻的特征的侧壁上(步骤514)。然后,停止反应介质和化学气相沉积气体(步骤516)。
按照本发明的一个实施方式,应用使用化学气相沉积的钝化到由沉积和蚀刻步骤反复交替组成的气体调制处理。一般,蚀刻步骤使用含SF6的蚀刻气体,沉积步骤使用含C4F8的沉积气体沉积包括C-C键的碳基钝化层。申请人使用少量Si4F8作为添加剂生成C-Si键修饰碳基钝化层的特性。按照本发明的一个实施方式,可应用化学气相沉积到该沉积步骤以便沉积含硅氧化物基钝化层,而不是碳基钝化层。含硅化学气相沉积气体,如TEOS或OMCTS,用于替代C4F8沉积气体。备选地,可使用快速交替处理和稳态处理的混合处理。该混合处理交替于两个阶段(子处理)之间:1)整个蚀刻阶段富含SF6(或类似的硅蚀刻剂)并具有一些硅钝化化合物;2)整个沉积处理富含硅钝化气体,但具有一些SF6,用以减速蚀刻。据信,使用含硅化学气相沉积减少了沉积/钝化步骤的时间和/或进一步提供侧壁廓形的控制。
尽管已根据数种优选的实施方式描述了本发明,但存在有改变、置换、和多种可替代的等同方式,均落入本发明的范围之内。还应当注意,存在有多种实施本发明方法和装置的备选方式。因此,所附的权利要求意在被解释为包括所有落入本发明主旨和保护范围内的这些改变、置换和多种可替代的等同方式。

Claims (18)

1.使用蚀刻室通过在硅层上形成的图案化掩模蚀刻所述硅层的方法,所述蚀刻室中放置有所述硅层,所述方法包括:
提供含氟蚀刻气体以蚀刻特征到所述硅层以及含硅化学气相沉积气体以在所述特征的侧壁上形成含硅沉积层;
由所述蚀刻气体和所述化学气相沉积气体产生等离子体;
提供偏压;
使用所述等离子体蚀刻特征到所述硅层;
在蚀刻的所述特征的侧壁上沉积含硅钝化层,其中所述钝化层中的硅主要来自所述化学气相沉积气体;以及
停止所述蚀刻气体和所述化学气相沉积气体。
2.根据权利要求1所述的方法,其中所述沉积含硅钝化层包括:
提供源自所述化学气相沉积气体的硅原子以形成所述的含硅钝化使得所述钝化层中硅原子的实质部分源自所述化学气相沉积气体。
3.根据权利要求2所述的方法,其中所述钝化层中源自所述化学气相沉积气体的硅原子占所述钝化层中所有硅原子的50%或更多。
4.根据权利要求3所述的方法,其中所述钝化层中源自所述化学气相沉积气体的硅原子占所述钝化层中所有硅原子的80%或更多。
5.根据权利要求4所述的方法,其中所述钝化层中源自所述化学气相沉积气体的硅原子占所述钝化层中所有硅原子的95%或更多。
6.根据权利要求1-5任一项所述的方法,其中所述沉积含硅钝化层包括:
沉积包含SiOxCy的含硅钝化层,其中x和y不同时为0。
7.根据权利要求6所述的方法,其中所述化学气相沉积气体包括:
四乙基原硅酸酯蒸汽。
8.根据权利要求6所述的方法,其中所述化学气相沉积气体包括:
八甲基环四硅氧烷蒸汽。
9.根据权利要求6所述的方法,其中所述化学气相沉积气体包括至少一种:
硅烷蒸汽;
硅酸酯蒸汽;或
硅氧烷蒸汽。
10.根据权利要求6所述的方法,其中在稳态中进行蚀刻所述硅层的所述方法。
11.根据权利要求6所述的方法,其中在包括交替的沉积和蚀刻步骤的气体调制处理的沉积步骤中进行蚀刻所述硅层的所述方法。
12.根据权利要求1-5任一项所述的方法,其中所述化学气相沉积气体包括:
四乙基原硅酸酯蒸汽。
13.根据权利要求1-5任一项所述的方法,其中所述化学气相沉积气体包括:
八甲基环四硅氧烷蒸汽。
14.根据权利要求1-5任一项所述的方法,其中所述化学气相沉积气体包括至少一种:
硅烷蒸汽;
硅酸酯蒸汽;或
硅氧烷蒸汽。
15.根据权利要求1-5任一项所述的方法,其中在稳态中进行蚀刻所述硅层的所述方法。
16.根据权利要求1-5任一项所述的方法,其中在包括交替的沉积和蚀刻步骤的气体调制处理的沉积步骤中进行蚀刻所述硅层的所述方法。
17.使用蚀刻室通过在硅层上形成的图案化掩模蚀刻所述硅层的方法,所述蚀刻室中放置有所述硅层,所述方法包括:
提供含氟蚀刻气体以蚀刻特征到上游等离子体室中所述硅层;
由所述上游等离子体室中的所述蚀刻气体产生等离子体;
使来自该等离子体的反应介质流入蚀刻室;
提供含硅化学气相沉积气体进入所述蚀刻室使得所述反应介质包括含硅化学蒸汽;
提供偏压;
使用所述反应介质蚀刻特征到所述硅层;
在蚀刻的所述特征的侧壁上沉积含硅钝化层,其中所述钝化层中的硅主要来自所述化学气相沉积气体;以及
停止流动所述反应介质和所述化学气相沉积气体。
18.通过图案化掩模在硅层中蚀刻特征的装置,包括:
等离子体处理室,包括:
形成等离子体处理室外壳的室壁;
在所述等离子体处理室外壳内支撑衬底的衬底支撑件;
调节所述等离子体处理室外壳中压强的压强调节器;
为所述等离子体处理室外壳提供维持等离子体电源的至少一个电极;
电连接到所述至少一个电极的至少一个射频电源;
提供气体进入所述等离子体处理室外壳的进气口;以及
从所述等离子体处理室外壳排放气体的出气口;
与所述进气口流体连接的气体源,包括:
蚀刻气体源;以及
化学气相沉积气体源;以及
可控连接到所述气体源、所述射频偏压源、以及所述至少一个射频电源的控制器,包括:
至少一个处理器;以及
计算机可读介质设置成执行下列步骤用于蚀刻所述硅层,包括:
从所述蚀刻气体源流动含氟蚀刻气体进入所述等离子体室;
从所述化学气相沉积气体源流动含硅化学气相沉积气体进入所述等离子体室;
由所述蚀刻气体和所述化学气相沉积气体形成等离子体;
提供偏压;
蚀刻特征到所述硅层;
在蚀刻的所述特征的侧壁上沉积含硅钝化层使得所述钝化层中的硅主要来自所述化学气相沉积气体;以及
停止所述蚀刻气体和所述化学气相沉积气体。
CN200980141317.4A 2008-10-23 2009-10-09 使用化学气相沉积钝化的硅蚀刻 Active CN102187437B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/257,215 US9018098B2 (en) 2008-10-23 2008-10-23 Silicon etch with passivation using chemical vapor deposition
US12/257,215 2008-10-23
PCT/US2009/060218 WO2010047978A2 (en) 2008-10-23 2009-10-09 Silicon etch with passivation using chemical vapor deposition

Publications (2)

Publication Number Publication Date
CN102187437A CN102187437A (zh) 2011-09-14
CN102187437B true CN102187437B (zh) 2013-07-24

Family

ID=42117929

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980141317.4A Active CN102187437B (zh) 2008-10-23 2009-10-09 使用化学气相沉积钝化的硅蚀刻

Country Status (6)

Country Link
US (1) US9018098B2 (zh)
JP (1) JP5557843B2 (zh)
KR (1) KR101758932B1 (zh)
CN (1) CN102187437B (zh)
TW (1) TWI528447B (zh)
WO (1) WO2010047978A2 (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009085672A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
EP2251454B1 (en) 2009-05-13 2014-07-23 SiO2 Medical Products, Inc. Vessel coating and inspection
JP5180121B2 (ja) * 2009-02-20 2013-04-10 東京エレクトロン株式会社 基板処理方法
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
JP5913830B2 (ja) * 2011-04-21 2016-04-27 株式会社アルバック シリコン基板のエッチング方法
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
JP6095678B2 (ja) 2011-11-11 2017-03-15 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 薬剤パッケージ用の不動態化、pH保護又は滑性皮膜、被覆プロセス及び装置
CN103159163B (zh) 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备
EP2846755A1 (en) 2012-05-09 2015-03-18 SiO2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
CA2890066C (en) 2012-11-01 2021-11-09 Sio2 Medical Products, Inc. Coating inspection method
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
CA2892294C (en) 2012-11-30 2021-07-27 Sio2 Medical Products, Inc. Controlling the uniformity of pecvd deposition on medical syringes, cartridges, and the like
CN103972155A (zh) * 2013-02-05 2014-08-06 中微半导体设备(上海)有限公司 一种在硅基底刻蚀通孔的方法
US20160015898A1 (en) 2013-03-01 2016-01-21 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
EP4234753A3 (en) 2013-03-11 2023-11-01 SiO2 Medical Products, Inc. Coated packaging
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US9761459B2 (en) * 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
CN108138316A (zh) 2015-08-18 2018-06-08 Sio2医药产品公司 具有低氧气传输速率的药物和其他包装
US10177002B2 (en) * 2016-04-29 2019-01-08 Applied Materials, Inc. Methods for chemical etching of silicon
US11056722B2 (en) * 2018-02-08 2021-07-06 International Business Machines Corporation Tool and method of fabricating a self-aligned solid state thin film battery
US10679853B2 (en) 2018-02-08 2020-06-09 International Business Machines Corporation Self-aligned, over etched hard mask fabrication method and structure
CN111627806A (zh) 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
CN112259474A (zh) * 2020-10-19 2021-01-22 上海华力集成电路制造有限公司 集成电路加工设备的等离子体源总成
JP7320554B2 (ja) 2021-04-27 2023-08-03 株式会社アルバック エッチング方法
CN114141997B (zh) * 2021-10-12 2023-09-29 维达力实业(深圳)有限公司 碳包覆含硅球体及其制备方法和应用

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0894338B1 (de) * 1997-02-20 2008-04-09 Robert Bosch Gmbh Anisotropes fluorbasiertes plasmaätzverfahren für silicium
CN101185157A (zh) * 2005-03-30 2008-05-21 朗姆研究公司 蚀刻形貌控制

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3353492B2 (ja) 1994-10-18 2002-12-03 ソニー株式会社 シリコン材料のパターニング方法
US5843226A (en) * 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
US6534409B1 (en) * 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
JPH10256260A (ja) 1997-03-11 1998-09-25 Sony Corp 高融点金属系材料層を有するゲート電極の形成方法、及び高融点金属系材料層を有するゲート電極を備えた半導体装置の製造方法
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
JPH1197414A (ja) 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
JPH11111686A (ja) 1997-10-01 1999-04-23 Nippon Telegr & Teleph Corp <Ntt> 低ガス圧プラズマエッチング方法
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US5904520A (en) * 1998-01-05 1999-05-18 Utek Semiconductor Corp. Method of fabricating a CMOS transistor
WO1999067817A1 (en) * 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
TW412792B (en) * 1999-02-10 2000-11-21 Applied Materials Inc Etching back process for solving the plug loss
US6458648B1 (en) * 1999-12-17 2002-10-01 Agere Systems Guardian Corp. Method for in-situ removal of side walls in MOM capacitor formation
US6491835B1 (en) * 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
JP2001319925A (ja) 2000-05-12 2001-11-16 Chemitoronics Co Ltd プラズマエッチング装置
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6387804B1 (en) * 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. Passivation of sidewall spacers using ozonated water
US6680232B2 (en) * 2000-09-22 2004-01-20 Fairchild Semiconductor Corporation Trench etch with incremental oxygen flow
US6821884B2 (en) * 2001-02-15 2004-11-23 Interuniversitair Microelektronica Centrum (Imec) Method of fabricating a semiconductor device
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP3527901B2 (ja) * 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
JP2005508078A (ja) * 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
AU2002367178A1 (en) * 2001-12-27 2003-07-15 Kabushiki Kaisha Toshiba Etching method and plasma etching device
US7129178B1 (en) * 2002-02-13 2006-10-31 Cypress Semiconductor Corp. Reducing defect formation within an etched semiconductor topography
US7169255B2 (en) * 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
DE10209763A1 (de) * 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
JP4048802B2 (ja) 2002-03-08 2008-02-20 富士電機デバイステクノロジー株式会社 トレンチ形成方法
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP4184851B2 (ja) * 2003-03-31 2008-11-19 東京エレクトロン株式会社 プラズマ処理方法
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7348245B2 (en) * 2003-04-28 2008-03-25 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US20050145341A1 (en) * 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
JP4381963B2 (ja) 2003-11-19 2009-12-09 パナソニック株式会社 プラズマ処理装置
DE10361635B4 (de) * 2003-12-30 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Abstandselements für ein Leitungselement durch anwenden einer Ätzstoppschicht, die durch eine stark richtungsgebundene Abscheidetechnik aufgebracht wird und Transistor mit Abstandselement
US7202170B2 (en) * 2004-01-20 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving etching profile of floating gates for flash memory devices
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US7135396B1 (en) * 2004-09-13 2006-11-14 Spansion Llc Method of making a semiconductor structure
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
JP4488999B2 (ja) 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR100763514B1 (ko) * 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법
US7829465B2 (en) * 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
JP5177997B2 (ja) * 2006-11-22 2013-04-10 Sppテクノロジーズ株式会社 高アスペクト比の開口を有するシリコン構造体、その製造方法、その製造装置、及びその製造プログラム
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0894338B1 (de) * 1997-02-20 2008-04-09 Robert Bosch Gmbh Anisotropes fluorbasiertes plasmaätzverfahren für silicium
CN101185157A (zh) * 2005-03-30 2008-05-21 朗姆研究公司 蚀刻形貌控制

Also Published As

Publication number Publication date
WO2010047978A3 (en) 2010-07-01
WO2010047978A2 (en) 2010-04-29
JP2012507145A (ja) 2012-03-22
US9018098B2 (en) 2015-04-28
KR101758932B1 (ko) 2017-07-18
TWI528447B (zh) 2016-04-01
US20100105208A1 (en) 2010-04-29
KR20110074755A (ko) 2011-07-01
JP5557843B2 (ja) 2014-07-23
TW201017751A (en) 2010-05-01
CN102187437A (zh) 2011-09-14

Similar Documents

Publication Publication Date Title
CN102187437B (zh) 使用化学气相沉积钝化的硅蚀刻
CN102187435B (zh) 使用等离子体增强氧化钝化的硅蚀刻
US8574447B2 (en) Inorganic rapid alternating process for silicon etch
US8518282B2 (en) Method of controlling etch microloading for a tungsten-containing layer
US8609546B2 (en) Pulsed bias plasma process to control microloading
CN104513973B (zh) 通过脉冲低频射频功率获得高选择性和低应力碳硬膜
TW201330088A (zh) 三維快閃結構用之蝕刻製程
CN111696863B (zh) 硅介质材料刻蚀方法
CN112420508A (zh) 蚀刻方法及基板处理装置
TW201442107A (zh) 具有預蝕刻暫態調節之蝕刻過程

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant