JP2011071522A - Dc/rfハイブリッド処理システム - Google Patents

Dc/rfハイブリッド処理システム Download PDF

Info

Publication number
JP2011071522A
JP2011071522A JP2010214224A JP2010214224A JP2011071522A JP 2011071522 A JP2011071522 A JP 2011071522A JP 2010214224 A JP2010214224 A JP 2010214224A JP 2010214224 A JP2010214224 A JP 2010214224A JP 2011071522 A JP2011071522 A JP 2011071522A
Authority
JP
Japan
Prior art keywords
data
rfh
processing
substrate
eedf
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010214224A
Other languages
English (en)
Other versions
JP5577532B2 (ja
Inventor
Lee Chen
チェン リー
Merritt Funk
ファンク メリット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2011071522A publication Critical patent/JP2011071522A/ja
Application granted granted Critical
Publication of JP5577532B2 publication Critical patent/JP5577532B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 現状では、次世代のSOI膜である完全空乏型シリコン・オン・インシュレータ(FD SOI)は、厚さ制御の均一性及び欠陥に限界があるので、製造されていない。
【解決手段】 本発明は、少なくとも1つの直流(DC)/高周波(RF)ハイブリッド(DC/RFH)処理システム並びに関連する直流(DC)/高周波(RF)ハイブリッド(DC/RFH)手順及びDC/RFH処理パラメータ及び/又はDC/RFHモデルを用いることによってリアルタイムで基板及び/又はウエハを処理する装置並びに方法を供することができる。
【選択図】 図35

Description

本発明は、基板処理に関し、より詳細には、直流(DC)/高周波(RF)ハイブリッド(DC/RFH)処理システム及び関連する直流(DC)/高周波(RF)ハイブリッド(DC/RFH)手順及びDC/RFH処理パラメータ及び/又はDC/RFHモデルを用いることによってリアルタイムで基板及び/又はウエハを処理する装置並びに方法に関する。
エッチングプロセスの挙動は本質的に非線形で、ステップ(層)間で、すなわち処理された積層体がそのまま1つになること際(エッチング/CVD/注入)に相互作用を起こす。東京エレクトロン株式会社(TEL)製チャンバの物理的モデル化、基礎となるプロセス、経験的データ、及びプロセスの精緻化及び調節から得られる測定結果に基づくプロセス相互作用の知識によって、限界寸法(CD)、側壁角度(SWA)、深さ、膜厚、オーバーエッチング、アンダーカット、表面洗浄、及び損傷制御の制御は、多入力多出力非線形モデルを用いて帰納的に計算及び最適化可能である。現在の低コスト製品はバルクシリコン技術を用いている。トランジスタのサイズが縮小し続けているので、チャネル深さの効果は重要になってきている(非常に浅いソース/ドレイン拡張部)。SOI膜が薄くなることで、ゲート及び/又はスペーサの厚さの変化、並びにSOI(絶縁体上のシリコン)膜の厚さの変化が小さくなることで、トランジスタの性能に影響を及ぼすと考えられる。エッチング処理が制御されなければ、ゲート付近での材料の除去はその電気的特性に影響を及ぼす。
F .F. Chen, Introduction to plasma physics, 1 st ed. (Plenum Press, New York, 1974) D.V. Rose, J.U. Guillory, J.H. Beall, Phys. Plasmas, 9,1000 (2002) I. Silin, R. Sydora, K. Sailer, Phys. Plasmas. 14,012106 (2007) P. Gopalraja, J. Foster, Appl. Phys. Lett. 77, 3526 (2000) P. Rolland , Phy,?'. Fluids 8,2114 (1965) H. P. Freund et al., Phys. Fluids 23, 518 (1980) H. P. Freund et al., Phys. Fluids 23, 139 (1980) Dawson et al., Phy.s. Fluids 5, 517 (1962) Dawson et al., Phy,s. Fluids 6, 394 (1963). H. Sugai, et al, Plasma Physic, Vol. 17, pp. 985-990 C. K. Birdsall, et al, Phys. of Plasma, vol. 9, 2002 K. Saeki, H. Sugai et al., Plasma Phys. 17 (1975)
現在の高性能マイクロプロセッサはPD SOI(0.2[V]の閾値電圧を与える部分空乏型シリコン・オン・インシュレータ)PD SOI膜は約50nmの厚さである一方、ゲート及び/又はスペーサの減少量は、該ゲート及び/又はスペーサの厚さ全体の大きな割合(10%)をも占めうる。次世代のSOI膜はFD SOI(0.08[V}の電圧及び〜25nmの厚さを与える完全空乏型シリコン・オン・インシュレータ)と呼ばれている。現状、これらの膜は、厚さ制御の均一性及び欠陥に限界があるので、製造されていない。チャネル移動度はSOIの減少に伴って減少する。薄い膜内部では、金属−ゲート構造の制御はより重要となる。
本発明は、少なくとも1つの直流(DC)/高周波(RF)ハイブリッド(DC/RFH)処理システム並びに関連する直流(DC)/高周波(RF)ハイブリッド(DC/RFH)手順及びDC/RFH処理パラメータ及び/又はDC/RFHモデルを用いることによってリアルタイムで基板及び/又はウエハを処理する装置並びに方法を供することができる。
本発明の実施例による処理システムの典型的ブロック図を図示している。 A-Dは、本発明の実施例によるエッチングサブシステムの典型的ブロック図を図示している。 A-Cは、本発明の実施例による典型的なDC/RFH処理システムデータを図示している。 A及びBは、本発明の実施例による典型的なDC/RFH処理システムのデータを図示している。 A及びBは、本発明の実施例による典型的なDC/RFH処理システムについてのシミュレーションデータを図示している。 本発明の実施例によるDC/RFH処理システムと一般的なRFシステムの典型的な処理データを図示している。 本発明の実施例によるDC/RFH処理システムの実験手順に係る典型的な設定を図示している。 本発明の実施例による実験用DC/RFH処理システムの典型的なテスト構造の分解図を示している。 本発明の実施例によるDC/RFH処理システムの典型的な検出器を図示している。 A及びBは、本発明の実施例による典型的なサブデバイ放出期間のデータを図示している。 本発明の実施例によるDC/RFH処理システムの典型的な処理データを図示している。 本発明の実施例によるDC/RFH処理システムの典型的な弾道電子(BE)データを図示している。 本発明の実施例によるDC/RFH処理システムの典型的なプロセス結果のデータを図示している。 本発明の実施例によるDC/RFH処理システムの典型的な低エネルギープロセス結果のデータを図示している。 A及びBは、本発明の実施例によるDC/RFH処理システムの典型的なマクスウエル分布が1つになるデータを図示している。 本発明の実施例によるDC/RFH処理システムの典型的な弾道電子(BE)エネルギーデータを図示している。 A及びBは、本発明の実施例によるDC/RFH処理システムの追加の典型的な弾道電子(BE)エネルギーデータを図示している。 本発明の実施例によるDC/RFH処理システムの追加の典型的な弾道電子(BE)エネルギーデータを図示している。 本発明の実施例によるDC/RFH処理システムの追加の典型的なマクスウエル分布をとる(熱エネルギー)データを図示している。 A、B、及びCは、本発明の実施例によるDC/RFH処理システムの追加の典型的な電子エネルギー分布データを図示している。 本発明の実施例によるDC/RFH処理システムの波のエネルギー分布の典型的な流れ図を示している。 A及びBは、本発明の実施例によるDC/RFH処理システムの波の不安定性に関するまとめのデータを図示している。 本発明の実施例によるビームプラズマに関する参考文献を挙げている。 本発明の実施例によるランダウ及び逆ランダウ波の典型的なプラズマ波データを図示している。 A、B、及びCは、本発明の実施例によるDC/RFH処理システムの追加の弾道電子(BE)データを図示している。 A、B、及びCは、本発明の実施例によるDC/RFH処理システムの中間エネルギーピークのデータを図示している。 本発明の実施例による追加のプラズマに関する参考文献を挙げている。 本発明の実施例による典型的な結合プラズマデータを図示している。 本発明の実施例による別な追加のプラズマに関する参考データを挙げている。 A及びBは、本発明の実施例による定在波共鳴(SWR)データ及びまとめのデータを図示している。 A、B、及びCは、本発明の実施例による反応性イオンエッチング(RIE)システムの処理データを図示している。 本発明の実施例によるDC/RFH処理システムの処理データを図示している。 本発明の実施例によるDC/RFH処理システムのパルス状RFデータを図示している。 本発明の実施例によるDC/RFH処理システムの平均自由行程のデータを図示している。 本発明の実施例によるDC/RFH処理システムを用いた第1組の基板の処理方法に係る典型的なフロー図を示している。 本発明の実施例による誘電体構造を用いたDC/RFHエッチング手順の典型図を表している。 本発明の実施例によるDC/RFH処理システムを用いた別な基板処理方法に係る典型的なフロー図を示している。 本発明の実施例によるDC/RFH処理システムを用いた別な基板処理方法に係る別の典型的なフロー図を示している。
ここで本発明の実施例を、例示目的でしかない添付図面を参照しながら説明する。図中、対応する記号は対応する部品を表す。
本発明は、少なくとも1つの直流(DC)/高周波(RF)ハイブリッド(RFH)処理システム及び関連直流/高周波ハイブリッド(DC/RFH)手順及びDC/RFH処理パラメータ及び/又はDC/RFHモデルを用いることによって、リアルタイムで基板及び/又はウエハを処理する装置並びに方法を供する。
一部の実施例では、基板−たとえば電子デバイスを準備するための基板−上に形成された様々な部位及び周期構造についてのプロファイルデータ及び回折信号データを有する計測ライブラリを生成及び/又は使用する装置並びに方法が供される。
1つ以上の評価部位が、基板上の様々な位置に供されて良く、かつDC/RFH処理手順及び関連モデルの評価並びに/又は検証に用いられて良い。基板は、該基板に関するリアルタイムデータ及び履歴データを有して良い。それに加えて、基板は該基板に関連する他のデータを有して良く、かつ前記他のデータは、幾何学構造/層構造データ、必要サイトの数、アクセスしたサイトの数、1つ以上のサイトについての信頼性データ及び/若しくは危険性データ、サイトのランク付けデータ、搬送シーケンスデータ、プロセス関連データ、評価/検証データ、又はこれらを組み合わせたデータを有して良い。基板に関するデータは、該基板をいつどこへ搬送するのかを設定するのに用いることのできる搬送シーケンスデータを有して良い。搬送シーケンスは動作状態データを用いて変更することができる。
エッチマスクによる乾式の現像及び/又はエッチングの間、乾式プラズマプロセスが利用可能で、かつ、電子を加熱し、かつ引き続いてプロセスガスの原子及び/又は分子組成の電離並びに解離を引き起こすため、プラズマは、電磁(EM)エネルギー−たとえば高周波(RF)出力−をそのプロセスガスに結合することにより、そのプロセスガスから生成される。
部位のサイズが45nm(ナノメートル)テクノロジーノード未満にまで減少することで、正確なプロセスの実行及び/又は測定データの取得はますます重要となり、かつますます難しくなっている。DC/RFH処理手順は、これらの非常に小さなデバイス及び部位をより正確に処理及び/又は測定するのに用いられて良い。DC/RFH処理手順からのデータは警告及び/又は制御限界と比較されて良い。実行規則に違反したときには、プロセスに問題があることを示唆する警告を発生させ、かつ補正手順をリアルタイムで実行することができる。
図1は、本発明の実施例による処理システムの典型的ブロック図を表している。図示された実施例では、処理システム100は、リソグラフィサブシステム110、露光サブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、評価サブシステム160、搬送サブシステム170、製造実行システム(MES)180、システム制御装置190、及びメモリ/データベース195を有する。単独のサブシステム(110、120、130、140、150、160、及び170)が例示された実施例には示されているが、これは本発明にとって必須ではない。実施例によっては、複数のサブシステム(110、120、130、140、150、160、及び170)が1つの処理システム100内で用いられて良い。たとえばエッチングサブシステム130は1つ以上の直流/高周波ハイブリッド(DC/RFH)処理装置を有して良く、かつエッチングサブシステム130内の(DC/RFH)処理装置は、1つ以上の直流/高周波ハイブリッド(DC/RFH)処理レシピ及び/又はモデルのうちの1つ以上の実行、実証、並びに/又は更新に用いられて良い。それに加えて、複数のサブシステム(110、120、130、140、150、160、及び170)のうちの1つ以上は、(DC/RFH)プロセスレシピ及びモデルに関連する追加の手順を実行するのに用いられて良い。
システム制御装置190は、データ搬送サブシステム191を用いることによって、リソグラフィサブシステム110、露光サブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、評価サブシステム160、及び搬送サブシステム170と結合して良い。システム制御装置190は、データ搬送サブシステム191を用いることによって、MES180と結合して良い。あるいはその代わりに他の構成が用いられても良い。たとえばエッチングサブシステム130、堆積サブシステム140、評価サブシステム160、及び搬送サブシステム170の一部は、東京エレクトロン株式会社から販売されているサブシステムであって良い。
リソグラフィサブシステム110は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、1つ以上の制御装置114、及び1つ以上の評価要素115を有して良い。1つ以上の搬送/格納要素112は、1つ以上の処理要素113及び/又は1つ以上の評価要素115と結合して良く、かつ111を介して搬送サブシステム170と結合して良い。搬送サブシステム170は111を介してリソグラフィサブシステム110と結合し、かつ1枚以上の基板105は111を介して搬送サブシステム170とリソグラフィサブシステム110との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、及び/又は1つ以上の評価要素115と結合して良い。1つ以上の制御装置114は、1つ以上の搬送/格納要素112、1つ以上の処理要素113、及び/又は1つ以上の評価要素115と結合して良い。あるいはその代わりにリソグラフィサブシステム110は異なった構成をとっても良い。
実施例によっては、リソグラフィサブシステム110は、先端の単一パターニング又は二重パターニング技術用に構成及び最適化することが可能なCLEAN TRACK LITHIUS Pro −i/LITHIUS Pro V-iコーター/ディベロッパーを有して良い。リソグラフィサブシステム110は、1枚以上の基板に対して、コーティング処理、熱処理、測定処理、検査処理、位置合わせ処理、及び/又は格納処理を実行して良い。たとえば1つ以上のリソグラフィ関連プロセスは、フォトレジスト材料及び/又は反射防止コーティング(ARC)材料を含む1層以上のマスク層の堆積に用いられて良く、かつ1層以上のマスク層の熱処理(ベーキング)に用いられても良い。それに加えて、リソグラフィサブシステム110は、1枚以上の基板上の1層以上のマスク層の現像、測定、及び/又は検査に用いられて良い。
露光サブシステム120は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、1つ以上の制御装置124、及び1つ以上の評価要素125を有して良い。1つ以上の搬送/格納要素122は、1つ以上の処理要素123及び/又は1つ以上の評価要素125と結合して良く、かつ121を介して搬送サブシステム170と結合して良い。搬送サブシステム170は121を介して露光サブシステム120と結合し、かつ1枚以上の基板105は121を介して搬送サブシステム170と露光サブシステム120との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、及び/又は1つ以上の評価要素125と結合して良い。1つ以上の制御装置124は、1つ以上の搬送/格納要素122、1つ以上の処理要素123、及び/又は1つ以上の評価要素125と結合して良い。
実施例によっては、露光サブシステム120は、湿式及び/又は乾式露光処理を実行するのに用いられて良く、また別な実施例では、露光サブシステム120は、極紫外(EUV)露光処理を実行するのに用いられて良い。
エッチングサブシステム130は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、1つ以上の制御装置134、及び1つ以上の評価要素135を有して良い。1つ以上の搬送/格納要素132は、1つ以上の処理要素133及び/又は1つ以上の評価要素135と結合して良く、かつ131を介して搬送サブシステム170と結合して良い。搬送サブシステム170は131を介してエッチングサブシステム130と結合し、かつ1枚以上の基板105は131を介して搬送サブシステム170とエッチングサブシステム130との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。1つ以上の制御装置134は、1つ以上の搬送/格納要素132、1つ以上の処理要素133、及び/又は1つ以上の評価要素135と結合して良い。たとえば1つ以上の処理要素133は、プラズマ若しくは非プラズマエッチング、アッシング、及びクリーニング処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、1枚以上の基板及び/又は基板の1層以上の層の測定及び/又は検査に用いられて良い。
堆積サブシステム140は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、1つ以上の制御装置144、及び1つ以上の評価要素145を有して良い。1つ以上の搬送/格納要素142は、1つ以上の処理要素143及び/又は1つ以上の評価要素145と結合して良く、かつ141を介して搬送サブシステム170と結合して良い。搬送サブシステム170は141を介して堆積サブシステム140と結合し、かつ1枚以上の基板105は141を介して搬送サブシステム170と堆積サブシステム140との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、及び/又は1つ以上の評価要素145と結合して良い。1つ以上の制御装置144は、1つ以上の搬送/格納要素142、1つ以上の処理要素143、及び/又は1つ以上の評価要素145と結合して良い。たとえば1つ以上の処理要素143は、物理気相成長(PVD)処理、化学気相成長(CVD)処理、イオン化物理気相成長(iPVD)処理、原子層堆積(ALD)処理、プラズマ原子層堆積(PEALD)処理、及び/又はプラズマ化学気相成長(PECVD)処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、基板の1つ以上の表面の測定及び/又は検査に用いられて良い。
検査サブシステム150は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、1つ以上の制御装置154、及び1つ以上の評価要素155を有して良い。1つ以上の搬送/格納要素152は、1つ以上の処理要素153及び/又は1つ以上の評価要素155と結合して良く、かつ151を介して搬送サブシステム170と結合して良い。搬送サブシステム170は151を介して検査サブシステム150と結合し、かつ1枚以上の基板105は151を介して搬送サブシステム170と検査サブシステム150との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、及び/又は1つ以上の評価要素155と結合して良い。1つ以上の制御装置154は、1つ以上の搬送/格納要素152、1つ以上の処理要素153、及び/又は1つ以上の評価要素155と結合して良い。
評価サブシステム160は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、1つ以上の制御装置164、及び1つ以上の評価要素165を有して良い。1つ以上の搬送/格納要素162は、1つ以上の処理要素163及び/又は1つ以上の評価要素165と結合して良く、かつ161を介して搬送サブシステム170と結合して良い。搬送サブシステム170は161を介して評価サブシステム160と結合し、かつ1枚以上の基板105は161を介して搬送サブシステム170と評価サブシステム160との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、及び/又は1つ以上の評価要素165と結合して良い。1つ以上の制御装置164は、1つ以上の搬送/格納要素162、1つ以上の処理要素163、及び/又は1つ以上の評価要素165と結合して良い。評価サブシステム160は1つ以上の処理要素163を有して良く、1つ以上の処理要素163は、ライブラリに基づく又は回帰分析に基づく手法を用いて基板上での1つ以上の位置での標的構造の測定に用いられて良い。たとえば基板105上の位置には、硬化関連位置、標的位置、オーバーレイ位置、位置合わせ位置、測定位置、確認位置、検査位置、若しくは損傷評価位置、又はこれらの結合が含まれて良い。たとえば1つ以上の「金の基板」又は参照用チップが、1つ以上の処理要素163及び/又は1つ以上の評価要素165の性能の確認のため、周期的に格納及び使用されて良い。
実施例によっては、評価サブシステム160は、一体化されたオプティカル・ディジタル・プロフィロメトリ(iODP)要素(図示されていない)を有して良い。iODP要素/システムはティンバーテクノロジー(Timbre Technologies)社(東京エレクトロン株式会社)から販売されている。あるいはその代わりに他の計測システムが用いられても良い。たとえばiODP技術は、限界寸法(CD)データ、ゲート構造データ、及び厚さデータを含むリアルタイムデータを取得するのに用いられて良い。iODPデータについての波長の範囲は、約200nm未満から約900nmよりも大きな値であって良い。典型的なiODP要素は、ODPプロファイラライブラリ要素、プロファイラアプリケーションサーバ(PAS)要素、及びODPプロファイラソフトウエア要素を有して良い。ODPプロファイラライブラリ要素は、光スペクトルについての用途特定データベース要素、及びそれに対応する半導体プロファイル、CD、及び膜厚を有して良い。PAS要素は光ハードウエア及びコンピュータネットワークと接続する少なくとも1つのコンピュータを有して良い。PAS要素は、データ通信、ODPライブラリ操作、測定処理、結果の発生、結果の解析、及び結果の出力を供するように備えられていて良い。ODPプロファイラソフトウエア要素は、PAS要素にインストールされたソフトウエアを有して良い。そのソフトウエアは、計測レシピ、ODPプロファイラライブラリ要素、ODPプロファイラデータ、ODPプロファイラ検索/一致結果、ODPプロファイラ計算/解析結果、データ通信、及び様々な計測要素やコンピュータネットワークに対するインターフェースを管理する。
評価サブシステム160は、偏光リフレクトメトリ、分光エリプソメトリ、リフレクトメトリ、又はデバイスのプロファイル、正確なCD、及び基板の複数の層の膜厚を測定する他の光学測定手法を用いて良い。一体化された計測処理(iODP)は、サブシステムの一体化された群内での一体化された処理として実行されて良い。それに加えて、一体化された処理は、解析の実行又は外部システムからのデータを長期間待つのに基板を壊す必要がなくなる。iODP技術は、インラインプロファイル及びCDを測定する既存の薄膜計測システムと併用されて良く、かつTELの処理システムと一体化されることで、リアルタイムでのプロセス監視及び制御を行うことができる。シミュレーションによる計測データは、マクスウエル方程式を適用して、数値解析手法を用いてマクスウエル方程式を解くことによって生成されて良い。
搬送サブシステム170は、搬送トラック(175、176、及び177)と結合する搬送要素174を有して良い。搬送トラック(175、176、及び177)は、基板の受け取り、基板の搬送、基板の位置合わせ、基板の格納、及び/又は基板の停留に用いられて良い。たとえば搬送要素174は、2枚以上の基板を支持して良い。あるいはその代わりに他の搬送手段が用いられても良い。搬送サブシステム170は、基板の搬入、搬送、格納、及び/又は搬出を行って良い。その際には、DC/RFH処理手順、DC/RFH処理に関連する処理シーケンス、搬送シーケンス、動作状態、基板及び/又は処理状態、処理時間、現在の時刻、基板データ、基板上での位置の数、基板上での位置の種類、必要な位置の数、完了した位置の数、残った位置の数、若しくは信頼性データ、又はこれらの結合に基づく。
いくつかの例では、搬送サブシステム170は、どこにいつ基板を搬送するのかを決定するための搬入出データを用いて良い。他の例では、搬送システムは、どこにいつ基板を搬送するのかを決定するためのDC/RFH処理データを用いて良い。あるいはその代わりに他の処理が用いられても良い。あるいはその代わりに他の手順が用いられても良い。たとえば基板の第1数が利用可能な処理要素の数以下であるとき、その第1数の基板は、搬送サブシステム170を用いることによって、その第1数の利用可能な処理要素へ搬送されて良い。基板の第1数が利用可能な処理要素の数よりも多いとき、一部の基板は、1つ以上の搬送/格納要素(112、122、132、142、152、及び162)及び/又は搬送サブシステム170を用いることによって、格納及び/又は停留されて良い。
それに加えて、リソグラフィ関連処理、露光関連処理、検査関連処理、測定関連処理、評価関連処理、エッチング関連処理、堆積関連処理、熱処理、コーティング関連処理、位置合わせ関連処理、研磨関連処理、格納関連処理、搬送処理、クリーニング関連処理、再加工関連処理、酸化関連処理、窒化関連処理、若しくは外部処理要素、又はこれらの結合を実行するときには、1つ以上のサブシステム(110、120、130、140、150、160、及び170)が用いられて良い。
動作状態のデータがサブシステム(110、120、130、140、150、160、及び170)について設定され、かつDC/RFH処理手順によって使用及び/又は更新されて良い。それに加えて、動作状態のデータは、DC/RFH処理/格納要素(112、122、132、142、152、及び162)、処理要素(113、123、133、143、153、及び163)、及び評価要素(115、125、135、145、155、及び165)について設定され、かつDC/RFH処理手順によって更新されて良い。たとえば処理要素についての動作状態を表すデータは、利用可能性のデータ、処理要素についての適合データ、一部の処理工程及び/若しくは位置についての予想された処理時間、処理要素についての歩留まりデータ、信頼性データ及び/若しくは危険性データ、又は、1つ以上のDC/RFH処理手順についての信頼性データ及び/若しくは危険性データを有して良い。更新された動作状態は1つ以上の処理要素及び/又は1つ以上のサブシステムへ問い合わせることによってリアルタイムで取得されて良い。更新された搬入出データは、1つ以上の搬送要素及び/又は1つ以上の搬送サブシステムへ問い合わせることによってリアルタイムで取得されて良い。
1つ以上の制御装置(114、124、134、144、154、及び164)は、データ搬送サブシステム191を用いることによって、システム制御装置190及び/又は相互に結合して良い。あるいはその代わりに他の結合配置が用いられても良い。その制御装置は直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえばその制御装置は、1つ以上の処理要素を有するマイクロプロセッサを有して良い。
それに加えてサブシステム(110、120、130、140、150、160、及び170)は、イントラネット、インターネット、有線接続、及び/又は無線接続を用いることによって、互いに及び/又は他のデバイスと結合して良い。制御装置(114、124、134、144、及び190)は、必要に応じて外部デバイスと結合して良い。
1つ以上の制御装置(114、124、134、144、154、164、及び190)は、リアルタイムDC/RFH処理手順を実行するときに用いられて良い。制御装置は、DC/RFH処理モデルからリアルタイムデータを受け取ることで、サブシステム、処理要素、プロセス、レシピ、プロファイル、像、パターン、シミュレーション、シーケンスデータ、及び/又はモデルデータを更新して良い。1つ以上の制御装置(114、124、134、144、154、164及び190)は、1つ以上の半導体製造装置通信スタンダード(SECS)メッセージを製造実行システム(MES)180又は他のシステム(図示されていない)とやり取りし、情報の読み取り及び/若しくは除去、情報のフィードフォワード及び/若しくはフィードバック、並びに/又はSECSメッセージとしての情報の送信を行うのに用いられて良い。1つ以上のフォーマットされたメッセージは制御装置間でやり取りされて良い。制御装置はメッセージを処理し、かつリアルタイムで新たなデータを引き出して良い。新たなデータが取得可能であるとき、その新たなデータは、基板及び/又はロットに現在用いられているモデル及び/又は処理をリアルタイムで更新するのに用いられて良い。たとえば現在の設計が検討される前にモデル及び/又は処理の更新が可能なときには、現在の設計は、更新されたモデル及び/又は処理を用いて検討されて良い。現在の設計が処理される前に更新ができないときには、現在の設計は、更新されていないモデル及び/又は処理を用いて検討されて良い。それに加えて、レジストが変化するとき、レジストモデルが変化するとき、処理シーケンスが変化するとき、設計規則が変更されるとき、又は設計が変更されるときには、定式化されたメッセージが用いられて良い。
例によっては、MES180は、いくつかのサブシステム及び/又はシステムをリアルタイムで監視するように備えられていて良く、かつ工場レベルでの介入及び/判定が、どのプロセスが監視され、かつどのデータを使用できるのかを判断するのに用いられて良い。たとえば工場レベルでの介入及び/判定は、DC/RFH処理のエラー状態が生じたときにどのようにデータを運用するのかを判断するのに用いられて良い。MES180はまた、モデル化データ、処理シーケンスデータ、及び/又は基板データを供しても良い。
それに加えて、制御装置(114、124、134、144、154、164及び190)は、必要に応じてメモリ(図示されていない)を有して良い。たとえばメモリ(図示されていない)は、情報及び制御装置によって実行される命令を格納するのに用いられて良く、かつ処理システム100の様々なコンピュータ/処理装置によって命令が実行されている間、暫定的な変数又は中間的な情報を格納するのに用いられて良い。1つ以上の制御装置(114、124、134、144、154、164及び190)又は他のシステム部品は、コンピュータによる読み取りが可能な媒体からデータ及び/又は命令を読み取る手段、並びにコンピュータによる読み取りが可能な媒体へデータ及び/又は命令を書き込む手段を有して良い。
処理システム100は、メモリ内に格納され、又はメッセージとして受け取られる1つ以上の命令からなる1つ以上のシーケンスを実行する処理システム内のコンピュータ/処理装置に応答して、本発明の処理工程の一部又は全部を実行して良い。そのような命令は、他のコンピュータ、コンピュータによる読み取り可能な媒体、又はネットワーク接続から受け取られても良い。
実施例によっては、一体化されたシステムが東京エレクトロン株式会社(TEL)のシステム部品を用いるように備えられていて良く、かつ外部のサブシステム及び/又は装置が含まれても良い。たとえばCD走査電子顕微鏡(CDSEM)システム、透過型電子顕微鏡(TEM)システム、集束イオンビーム(FIB)システム、光デジタルプロファイロメトリ(ODP)システム、原子間力顕微鏡(AFM)システム、又は他の光計測システムを含む測定用部品が供されても良い。サブシステム及び/又は処理用の部品は、それぞれ異なるインターフェース要件を有して良い。制御装置は、これらのそれぞれ異なるインターフェース要件を満たすように備えられていて良い。
1つ以上のサブシステム(110、120、130、140、150、160、及び170)は制御アプリケーション、グラフィカルユーザーインターフェース(GUI)アプリケーション、及び/又はデータベースアプリケーションを実行して良い。それに加えて、1つ以上のサブシステム(110、120、130、140、150、160、及び170)及び/又は制御装置(114、124、134、144、154、164及び190)は、実験計画法(DOE)アプリケーション、高性能プロセス制御(APC) アプリケーション、装置異常検出及び分類(FDC)アプリケーション、並びに/又はラン・トゥー・ラン(R2R)アプリケーションを有して良い。
DC/RFH処理手順からの出力データ及び/又はメッセージは、プロセスの正確さ及び精度を最適化する後続の処理に用いられて良い。データは、リアルタイム変数パラメータとして、DC/RFH処理手順へリアルタイムで受け渡されて良い。リアルタイムデータは、ライブラリに基づくシステム、若しくは回帰分析に基づくシステム、又はこれらの結合システムと併用されることで、DC/RFH処理手順を最適化して良い。
ライブラリに基づく処理が用いられるとき、そのライブラリ内のDC/RFH処理データは、DC/RFH処理手順、レシピ、プロファイル、及び/又はモデルを用いることによって精製されて良い。たとえばライブラリ内のDC/RFH処理データは、シミュレーションによる及び/又は測定されたDC/RFH処理データ、並びに対応する処理シーケンスデータの組を有して良い。ライブラリに基づくプロセスはリアルタイムで実行されて良い。ライブラリ用のDC/RFH処理データを生成する他の手順には、機械学習システム(MLS)を用いた処理が含まれて良い。たとえばDC/RFH処理データを生成する前に、MLSは既知の入出力データを用いて訓練されて良く、かつMLSはDC/RFH処理データの一部からなる組によって訓練されて良い。
DC/RFH処理手順は、一致する条件に出会うときには常に実行される介入及び/又は判断規則を有して良い。介入並びに/又は判断規則及び/若しくは制限は、処理の履歴、使用者の経験、又は処理に関する知識に基づいて設定されて良く、又はホストコンピュータから得られても良い。規則は、警告条件、エラー条件、異常条件、及び/又は警報条件に対してどのように応答するのかを判断する、装置異常検出及び分類(FDC)処理に用いられて良い。規則に基づくFDC処理は、異常を優先させかつ/又は分類し、システム性能を予測し、予防保守スケジュールを予測し、保守のための不稼働時間を減らし、かつシステム内の消耗部品の寿命を延ばすことができる。警告/警報に対して様々な動作が行われて良い。その警告/警報に対して行われる動作は状態に基づく。その状態のデータは、規則、システム/プロセスレシピ、識別番号、搬入ポート番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、スロット番号、及び/又はデータの種類によって特定されて良い。
成功しなかったDC/RFH処理手順は、限界を超えるときに、故障を報告することができる。成功した手順は、限界に近づいているときに、警告メッセージを生成することができる。処理エラーについて予め特定された行為は、データベースに格納され、かつエラーが発生するときには、データベースから取得することが可能である。たとえばDC/RFH処理手順は、測定処理が失敗したときに、基板の1つ以上の位置でのデータを拒否して良い。
DC/RFH処理手順は、様々な時間及び/又は位置で、孤立構造(広い間隔が設けられている、すなわち部位間の間隔の大きさが最小ではないもの)及び/又は入れ子構造(密接している、すなわち部位間の間隔が最小寸法であるもの)の生成、修正、及び/又は評価に用いられて良い。たとえばゲート積層構造の大きさ及び基板の厚さデータは、孤立構造及び/又は入れ子構造付近でそれぞれ異なっていて良い。またゲート積層構造の大きさ及び基板の厚さデータは、開いた領域及び/又は溝アレイ領域付近でそれぞれ異なっていて良い。DC/RFH処理手順によって生成される部位は続いて、エッチングされた孤立構造及び/若しくは入れ子構造用に最適化された部位並びに/又は構造を生成するのに用いられて良い。
DC/RFH処理が実行される前、1つ以上の乾燥処理及び/又は硬化処理が、放射線感受性材料(フォトレジスト)膜の補強、最適なポリマーの供給、及びプロセスガスの解離の抑制に用いられて良い。従って放射線感受性材料(フォトレジスト)の表面粗さを減少させることができる。さらに放射線感受性材料(フォトレジスト)膜内に形成された開口部のCDが拡張するのが防止されることで、高精度のパターンの形成を実現することができる。特にこれらの効果は、DC電圧を制御して本明細書に記載された3つの機能を適切に働かせることによってより改善される。
図2A-2Dは、本発明の実施例によるエッチングサブシステムの典型的ブロック図を示している。
実施例によっては、エッチングサブシステムは、東京エレクトロン株式会社(TEL)製のタクトラス(Tactras)(登録商標)ビガス(Vigus)(商標)300mmエッチングシステムを有して良い。タクトラス(登録商標)ビガス(商標)システムは、高アスペクト比(HAR)のエッチング処理中での優れた性能、高アスペクト比コンタクト(HARC)のエッチング処理、及び先端ダマシン集積法を供し、かつ従来よりも高いエッチング速度や従来よりも均一なエッチング速度、優れたCD制御、及び新たな停止層についての高選択性を供する。たとえばタクトラス(登録商標)ビガス(商標)300mmエッチングシステムは、最大の生産性及び柔軟性を供するため少なくとも6つのチャンバを有して良い。他の実施例では、エッチングサブシステムは東京エレクトロン株式会社製の別なエッチングシステムを有して良い。

第1の典型的な直流(DC)及び高周波(RF)ハイブリッド処理システム200Aが図2Aに図示されている。図示されたDC/RFH処理システム200Aは、処理チャンバ210、被処理基板205が上に固定される基板ホルダ220、高周波(RF)発生装置230、DC供給サブシステム240、ガス供給サブシステム260、上側集合体265、圧力制御サブシステム285、及び制御装置290を有する。たとえば基板ホルダ220は、基盤229を用いることによって、処理チャンバ210と結合し、かつ処理チャンバ210から隔離されて良い。基板205はたとえば、半導体ウエハ、ソーラーパネル、プラズマスクリーン、試料、又は液晶ディスプレイ(LCD)であって良い。上側集合体265はDC供給サブシステム240と結合可能なDC電極245を有して良い。DC電極245へのDCバイアスは約-10kV〜約+10kVまで変化して良い。上側集合体265がDC電極245を有するとき、DC電極245は、上側集合体265内の他の部品から隔離される。
DC/RFH処理中、処理チャンバ210は、基板205の表面に隣接する処理領域206内でのプラズマの発生を助けるように備えられていて良い。プラズマは、弾道電子(BE)と電離可能ガスとの衝突によって生成される。電離可能ガス又は複数の種類のガスの混合物はガス注入システム260から処理領域206へ導入され、かつ処理圧力が圧力制御サブシステム285を用いることによって調節されて良い。
ガス供給サブシステム260は、流れを制御するデバイス及び/又は測定デバイスを有することが可能な1つ以上のフィードスルー部品261と結合して良い。フィードスルー部品261は1つ以上のガス供給部品262と結合して良い。ガス供給部品262は、流れを制御するデバイス及び/又は測定デバイスを有することが可能な1つ以上のガス分配部品(266及び267)と結合して良い。上側集合体265は、1つ以上の中心に位置するガスフロー部品266及び1つ以上の外側に位置するガスフロー部品267を有して良い。たとえば中心に位置するガスフロー部品266及び外側に位置するガスフロー部品267は、プロセスガスの適切な混合ガスを処理領域206へ供して良い。それに加えてプラズマは、所定の材料プロセスに固有な材料の生成、及び、基板205への材料の堆積又は基板205の露出面からの材料の除去のいずれかに利用されて良い。たとえば制御装置255は、真空排気システム286及びガス注入システム260の制御に用いられて良い。
基板205はたとえば、ロボット搬送システムによって、スロットバルブ(図示されていない)及びチャンバフィードスルー(図示されていない)を介して、プラズマ処理チャンバ210に対して搬入出されて良い。基板205は基板ホルダ220内に格納された基板リフトピン(図示されていない)によって受け取られ、かつ基板ホルダ220内に格納された装置によって機械的に平行移動される。基板225が搬送システムから受け取られた後、基板225を基板ホルダ220の上面にまで下げることができる。
基板ホルダ220は、1つ以上の温度制御サブシステム222と結合可能な1つ以上の温度制御素子223を有して良い。たとえば温度制御素子223は抵抗加熱素子及び/又は熱電制御素子223を有して良い。基板ホルダ220は、背面ガス供給システム226と結合可能なデュアル(中心/端部)背面ガス供給システム226を有して良い。ガスが基板205の背面へ供給されることで、基板205と基板ホルダ220との間のガスギャップ熱伝導が改善される。基板205は、基板ホルダ220内に設けられた静電クランプシステム228及び静電クランプ電極227を介して基板ホルダ220に固定されて良い。デュアル(中心/端部)背面ガスシステムは、昇温又は降温する際にさらなる基板温度制御が必要なときに利用されて良い。たとえば基板温度の制御は、プラズマから基板205へ供給される熱流束と、基板から熱伝導によって基板205から除去されてホルダ220へ向かう熱流束とのバランスによって実現される定常状態の温度を超えたときに有用となりうる。
図2Aに図示されているように、基板ホルダ220は下側電極221を有して良い。下側電極221を介して、高周波(RF)出力は、処理領域206内のプラズマと結合することができる。RF出力は約0ワット〜約20000ワットの範囲であって良い。たとえば下側電極221は、RF発生装置230からインピーダンス整合ネットワーク232を介して下側電極221へ、RF出力を伝送することによって、RF電圧で電気的にバイアスがかけられて良い。RFバイアスは、電子を加熱してプラズマを生成及び維持する役割を果たして良い。RFバイアスにとっての典型的な周波数は1MHzから100MHzの範囲であり、好適には13.56MHzである。それに加えてRF発生装置230は、インピーダンス整合ネットワーク232を介して下側電極221へ多重周波数を供することが可能な追加のRF源を有して良い。さらにインピーダンス整合ネットワーク232は、反射出力を抑制することによって処理チャンバ210内のプラズマへのRF出力の電送の最適化及び/又は最大化を行うように機能する。様々な整合形態及び自動制御方が利用されて良い。
続けて図2Aを参照すると、中心に位置するガスフロー部品266及び外側に位置するガスフロー部品267を用いることによって、プロセスガスが、ガス注入サブシステム260を介して、1つ以上の処理領域206へ導入されて良い。あるいはその代わりに異なる構成が用いられても良い。プロセスガスはたとえば混合ガスを有して良い。混合ガスとはたとえば、酸化物エッチング用にアルゴン、CF4、及びO2、若しくはアルゴン、C4F8、及びO2、又は、他の化学物質-たとえばO2/CO/Ar/C4F8、O2/CO/Ar/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、HBr-を有して良い。フィードスルー部品261、ガス供給部品262、中心に位置するガスフロー部品266、及び外側に位置するガスフロー部品267は、基板225への汚染物の導入を減少又は最小限に抑制するように備えられ、かつ必要に応じてフィルタを有して良い。中心に位置するガスフロー部品266及び外側に位置するガスフロー部品267は、処理領域206の各異なる領域に対して各異なる流速を供して良い。他の実施例では、ガス供給サブシステム260に係るプラズマ種は、アルゴン(Ar)、CF4、C4F8、CO、C5F8、C4F6、CHF3、N2/H2、若しくはHBr、又はこれらの2つ以上の混合物を有して良い。中心に位置するガスフロー部品266及び外側に位置するガスフロー部品267は、処理領域206の各異なる領域に対して各異なる流速を供して良い。流速は約0sccm(cm3/分@標準状態)〜1000sccmの範囲で変化して良い。
たとえば圧力制御サブシステム285は、毎秒最大5000リットル(以上)の排気能力を有する真空排気システム286−たとえばターボ分子ポンプ(TMP)−、及びチャンバ圧力を制御するゲートバルブ287を有して良い。ドライプラズマエッチングに利用される従来のプラズマ処理装置では、毎秒1000〜3000リットルのTMPが一般的に用いられている。TMPは、低圧−典型的には50mTorr未満−プロセスにとって有効である。高圧では、TMP排気速度は劇的に低下する。高いプロセス圧力(つまり100mTorrよりも高圧)では、メカニカルブースターポンプ及びドライ粗引きポンプが使用されて良い。さらにチャンバ圧力を監視する装置(図示されていない)が、処理チャンバ210に結合して良い。圧力測定装置はたとえば、MKSインスツルメンツ(MKS Instruments Inc)から販売されている628B型のバラトロン(登録商標)絶対キャパシタンスマノメータであって良い。
図2Aに図示されているように、DC/RFH処理システム200Aは、性能データを得るためにプラズマ処理チャンバ210と結合する1つ以上のセンサ250、及び性能データを受け取るためにセンサ250と結合する制御装置290を有して良い。センサ250は、超デバイ捕獲期間検出器、サブデバイ放出期間検出器、及び/又は電子エネルギー分布関数(EEDf)データを供することが可能な他の検出器を有して良い。それに加えてセンサ250は、背面ガス圧力データ、基板背面流のデータ、静電クランプ(ESC)電圧データ、ESC電流データ、基板ホルダ220の温度データ(又は下側電極(LEL)の温度データ)、冷媒温度データ、上側電極(UEL)温度データ、前進RF出力データ、反射RF出力データ、RF自己誘導DCバイアスデータ、RFピーク間電圧データ、チャンバ壁温度データ、プロセスガス流速データ、プロセスガス分圧データ、チャンバ圧力データ、整合ネットワークデータ(つまりC1とC2の位置)、焦点リングの厚さデータ、RF時間データ、焦点リングRF時間データ、及びDC出力データを供するセンサを有して良い。それに加えてセンサ250は、処理領域206内のプラズマからの発光を監視する1つ以上の光学デバイス−たとえば発光分光(OES)センサを有して良い。光学デバイス/センサはたとえば、終点検出器(EPD)として利用可能であってEPDデータを供することのできる光センサを有して良い。それに加えてセンサ250は、チャンバ壁又は基板ホルダ220と結合可能なIEAセンサを有して良い。
第1DC/RFH処理システム200Aは少なくとも1つの測定デバイス234を有して良い。測定デバイス234は、電流及び/若しくは電圧プローブ、オシロスコープ、パワーメータ、スペクトラムアナライザ、可動ラングミュアプローブ、可動IEAプローブ/センサ、又はRFインピーダンスアナライザを有して良い。たとえば電気信号−たとえば電圧又は電流の時間変化−の測定は、離散的フーリエ級数表現(周期的な信号を仮定する)を用いることによる、周波数ドメインへの信号変換を可能にする。その後フーリエスペクトル(又は時間変化する信号であれば周波数スペクトル)が監視及び解析されることで、プラズマの状態が特徴付けられて良い。それに加えて測定デバイス234は、処理チャンバ210の外部である放射RF場の測定する広帯域RFアンテナ、及び/又はリアルタイムのプラズマ条件を記録する高速ビデオカメラを有して良い。
制御装置290は、マイクロプロセッサ、メモリ、及びデジタルI/Oポート(場合によってはD/A及びA/D変換装置を含む)を有する。デジタルI/Oポートは、DC/RFH処理システム200Aからの出力を監視するのみならず、DC/RFH処理システム200Aの入力をやり取りし、かつ起動させるのに十分な制御電圧を発生させる能力を有する。図2Aに図示されているように、制御装置290は、RF発生装置230、インピーダンス整合ネットワーク232、ガス注入システム260、真空排気システム286、背面ガス供給システム226、温度制御システム228、測定デバイス234、電気測定デバイス236、及びセンサ250と結合し、かつこれらと情報をやり取りして良い。メモリ内に記憶されたプログラムは、記憶されたプロセスレシピに従ってDC/RFH処理システム200Aの上記部品と相互作用するのに利用される。
図2Bに図示された第2実施例では、第2DC/RFH処理システム200Bは、第1DC/RFH処理システム200Aと類似していて、かつさらに第2RF源275及び第2整合ネットワーク276を有して良い。図2Bに図示されているように、基板ホルダ220は下側電極221を有して良い。下側電極221を介して、第2RF出力は処理領域206内のプラズマと結合して良い。第2RF出力は約0ワット〜約20000ワットの範囲であって良い。たとえば下側電極221は、第2RF発生装置275から第2インピーダンス整合ネットワーク276を介して下側電極221(又はその一部)へ、RF出力を伝送することによって、第2RF電圧で電気的にバイアスがかけられて良い。第2RF電圧は、電子を加熱してプラズマを生成及び維持する役割を果たして良い。第2RF電圧にとっての典型的な周波数は1MHzから100MHzの範囲であり、好適には13.56MHzである。それに加えて第2RF発生装置275は、パルス状、振幅変調(AM)、及び/又は周波数変調(FM)であって良い。さらにインピーダンス整合ネットワーク232は、反射出力を抑制することによって処理チャンバ210内のプラズマへのRF出力の電送の最適化及び/又は最大化を行うように機能する。様々な整合形態及び自動制御方が利用されて良い。
図2Cに図示された第3実施例では、第3DC/RFH処理システム200Cは、第1DC/RFH処理システム200Aと類似していて、かつさらに第2上側集合体265’を有して良い。第2上側集合体265’は、DC供給サブシステム240’と結合可能な他のDC電極245’を有して良い。たとえばこのDC電極245’へのDCバイアスは約-10kV〜約+10kVの範囲で変化して良い。第2上側集合体265’がこのDC電極245’を有するとき、このDC電極245’は第2上側集合体265’内の他の部品から隔離される。
それに加えて第2上側電極265’は上側電極274を有して良い。第2RF出力は、第2RF発生装置270から第2インピーダンス整合ネットワーク272を介して上側電極274と結合可能である。第2RF出力は約0ワット〜約20000ワットの範囲であって良い。上側電極へ第2RF出力を印加する際の第2周波数は約0.1MHz〜約200MHzの範囲であって良い。下側電極221へ出力を印加する際の第1周波数は約0.1MHz〜約100MHzの範囲であって良い。それに加えて第2RF発生装置272は、インピーダンス整合ネットワーク232を介して下側電極221へ多重周波数を供することが可能な追加のRF源を有して良い。しかも制御装置255は、上側電極274への第2RF出力の印加を制御するため、第2RF発生装置270及び第2インピーダンス整合ネットワーク272と結合して良い。第2上側集合体265’が第2DC電極245及び上側電極274’を有するとき、第2DC電極245’及び上側電極274は、互いに隔離され、かつ第2上側集合体265’内の他の部品からも隔離される。第2上側集合体265’は1つ以上のガス分配部品(266及び267)を有して良い。たとえば図示されているように、第2DC電極、上側電極274、及びガス分配部品(266及び267)は互いに隔離されて良い。
図2Dに図示された第4実施例では、第4DC/RFH処理システム200Dは、第1DC/RFH処理システム200Aと類似していて、かつさらに第3上側集合体265’’を有して良い。第3上側集合体265’’は、第3DC供給サブシステム240’’と結合可能な他のDC電極245’’を有して良い。たとえばこのDC電極245’’へのDCバイアスは約-10kV〜約+10kVの範囲で変化して良い。第3上側集合体265’’がこのDC電極245’’を有するとき、このDC電極245’は第3上側集合体265’’内の他の部品から隔離される。
それに加えて第3上側集合体265’’は分かれた上側電極(274a及び274b)を有して良い。第3RF出力は、第3RF発生装置270から第3インピーダンス整合ネットワーク272及びRF出力スプリッタ273を介して分かれた上側電極(274a及び274b)に結合されて良い。分かれた上側電極(274a及び274b)へ第3RF出力を印加する際の第3周波数は約0.1MHz〜約200MHzの範囲であって良い。下側電極221へ出力を印加する際の第1周波数は約0.1MHz〜約100MHzの範囲であって良い。それに加えて第3RF発生装置270は、インピーダンス整合ネットワーク232を介して下側電極221へ様々な周波数を供することが可能な追加のRF源を有して良い。
しかも制御装置290は、分かれた上側電極(274a及び274b)への第3RF出力の印加を制御するため、第3RF発生装置270、第3インピーダンス整合ネットワーク272、及びRF出力スプリッタ273と結合して良い。第3上側集合体265’’が第3DC電極245’’及び分かれた上側電極(274a及び274b)への第3RF出力を有するとき、第3DC電極245’’及び分かれた上側電極(274a及び274b)は、互いに隔離され、かつ第3上側集合体265’’内の他の部品からも隔離される。第3上側集合体265’’は1つ以上のガス分配部品(266及び267)を有して良い。たとえば図示されているように、第3DC電極、分かれた上側電極(274a及び274b)、及びガス分配部品(266及び267)は互いに隔離されて良い。第3RF出力は約0ワット〜約20000ワットの範囲であって良い。
それに加えて、基板ホルダ220は、処理中、処理チャンバ210内において浮遊ポテンシャルの状態で基板205を設置するように備えられて良い。あるいはその代わりにDC/RFH処理システムは、誘導結合プラズマ(ICP)源、電子サイクロトロン共鳴(ECR)源、ヘリコン波源、表面波プラズマ源、スロット型アンテナを有する表面波プラズマ源等を有して良い。
プロセスガスが少なくとも1種類のフルオロカーボンガス及び少なくとも1種類の不活性ガスを有するとき、第1フルオロカーボンガスの流速は約10sccm〜約50sccmの間で変化し、第1不活性ガスの流速は約3sccm〜約20sccmの間で変化し、かつフルオロカーボンガスは、C4F8、CO、C5F8、C4F6、CHF3、CF4、又はこれらの2つ以上の混合物を有して良い。不活性ガスは、アルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、ネオン(Ne)、ラドン(Rn)、若しくはキセノン(Xe)、又はこれらの混合ガスを有して良い。プロセスガスがCOを有するとき、COの流速は約2sccm〜約20sccmの間で変化して良い。
制御装置290は、1つ以上のマイクロプロセッサ、1つ以上のメモリ、並びに1つ以上のアナログ及び/又はデジタルI/Oポート(場合によってはD/A及びA/D変換装置を含む)を有する。デジタルI/Oポートは、DC/RFH処理システム(200A-200B)からの出力を監視するのみならず、DC/RFH処理システム(200A-200B)の入力をやり取りし、かつ起動させるのに十分な制御電圧を発生させる能力を有する。メモリ内に記憶されたプログラムは、記憶されたプロセスレシピに従ってDC/RFH処理システム(200A-200B)の上記部品と相互作用するのに利用される。
制御システム290は、メモリ内に格納されている1以上の命令に係る1以上のシーケンスを実行するプロセッサに応答して、マイクロプロセッサに基づいた本発明の処理工程の一部又は全部を実行する汎用コンピュータシステムとして実装されても良い。係る命令は、他のコンピュータによる読み取りが可能な媒体-たとえばハードディスク又は取り外し可能な媒体ドライブ-から制御装置のメモリへ読み込まれて良い。多重処理装置内の1つ以上のプロセッサもまた、主メモリ内に格納された命令のシーケンスを実行する制御装置のマイクロプロセッサとして用いられても良い。代替実施例では、配線回路が、ソフトウエアの代わりに又はそれと一緒に用いられて良い。
エッチングプロセスがDC/RFH処理システム(200A-200B)のうちの1つによって実行されるとき、スロットバルブ209を開けることが可能となり、かつ、基板205は、処理チャンバ210へ搬送され、かつ基板ホルダ220上に設けられて良い。ガス供給サブシステム260は第1プラズマ種を供して良い。処理チャンバ210は、第1プラズマ種を利用して基板205の表面に隣接する処理領域206内でのエッチング用プラズマの生成を助けるように備えられて良い。プラズマ種はフルオロカーボン種(CxFy)−たとえばC4F8−を有して良く、かつ他の成分−たとえばAr又はCO−を有しても良い。第1プラズマ種(イオン)及び/又は電子の流速はエッチングレシピを用いることによって設定されて良い。処理チャンバ210内部での圧力は約1mtorr(ミリトール)〜約1200mtorrの範囲であって良い。他の例では、処理チャンバ210内部の圧力は約10mtorr〜約150mtorrの範囲であって良い。
図3A及び図3Bは本発明の実施例による典型的なDC/RFH処理システムのデータを図示している。DC/RFH処理システムのデータは、e-beam(電子ビーム)プラズマの定性的な電子の運動データを有して良い。13.56MHzバイアスが示されている。そこでは、DC電圧が約-500Vとなり、RFピーク間電圧が約2kVで、RF出力が約1kWで、かつ捕獲期間が約30nsとなりうる。
図3Aは、DC電極310、RF電極320、及び複数の接地部品330を有する典型的なチャンバの空間的なスナップショットを図示している。DC電極310は高い負の電圧VDC(-1kV)が印加された上側電極であって良い。熱電子は複数の接地部品330のうちの1つへ戻って良い。弾道電子(BE)はDC/RFH処理チャンバ内において約1nsの遷移時間を有して良い。DC/RFH処理チャンバ内では、DC電極310はRF電極320に対向するように設けられていなくてはならない。
図3Bは、第1RF電圧350及び第1ピーク電圧370を有する電圧の時間変化を表すグラフを有する時間的なスナップショットを図示している。それに加えて、典型的な捕獲期間370も図示され、かつ捕獲期間370の長さは約30nsであって良い。たとえばVRF(t)は13.56MHzでRF電極320に印加される電圧(1000V)であって良く、かつVppはバイアス基板上のピーク間RF電圧(2kV)であって良い。
図3Cは、プラズマのEEDfを制御してプラズマ化学を制御する典型的な方法を図示している。つまり一の目的は、〜6eV〜17eVのエネルギー範囲の電子分布を「最小限に抑制される」ようにEEDfを制御することである。当該方法は、最適化を必要とし、かつ分子種に依存しても良い。この理由は、このエネルギー範囲の電子は分離を解離させることで、F,O等を生成し、かつ真空紫外(VUV)放射線を発生させるからである。それに加えて様々な分子について、その範囲はわずかに異なっている。約0.6eV〜約17eVの範囲は第1例であって良い。第1グラフ381はマクスウエル分布に従う成分を図示し、第2グラフ382はビーム成分を図示し、かつ第3グラフ383は全成分を図示し、かつ比較領域380が図示されている。
図4A及び図4Bは本発明の実施例による典型的なDC/RFH処理システムのデータを図示している。当該DC/RFH処理システムのデータは13.56MHzのバイアス例を有して良い。ここでは、DC電圧は約-1000V(約2kW)で、かつRF出力は約1kW〜約3kWの範囲で変化して良い。
図4Aは、上側DC電極410、可変DC源415、DC伝導性電極カバー420、接地リング430、下側RF電極435、及びRF源440を有する他の典型的なDC/RFH処理チャンバを図示している。DC電極410は可変DC源415(-1kV)と結合して良い。下側RF電極435はRF源440(13.56MHz,1-3kW)と結合して良い。弾道電子(BE)445は、EEDfを巧く制御するようにバルクプラズマ450と相互作用して良い。(BE)はシースと衝突することで、エッチング速度を増大させることができる。高エネルギー電子は基板表面に衝突することで選択性を改善させることができる。BEはバルクプラズマと相互作用して良い。ne(電子密度)の値及びプロセス用化学物質(CFx/F)がEEDfの制御に用いられて良い。BEはプラズマシース上に衝突してエッチング速度を増大させることができる。高エネルギー電子はウエハ表面に影響を及ぼすことができる。それに加えて、電子が誘導する表面化学反応があっても良い。そのような表面化学反応とは、たとえば193nm-PR(フォトレジスト)の硬化、ポリマー混合の改善、及び良好な選択性である。
図4Bは、DC源の存在しないプロセスについての典型的な第1プロセス結果、及びDC源が存在するプロセスについての典型的な第2プロセス結果を図示している。第1プロセス結果480(不完全なエッチング)に係る限界寸法(CD)は約127nm〜約264nmの範囲で変化して良い。第2プロセス結果490(完全なエッチング)に係る限界寸法(CD)は約186nm〜約470nmの範囲で変化して良い。
図5A及び図5Bは本発明の実施例によるDC/RFH処理システムの典型的なシミュレーションデータを図示している。そのシミュレーションデータは、すべての種についてZに対するUz(z方向における電子の速度)を有して良い。図5Aは、第1の非常に密な領域510、第2の密な領域520、第3の実質的に清浄な領域530、及び他の密ではない領域540を有するDC/RFH処理システムについての実質的に均一なグラフを図示している。図5Bは非常に密で不均一な領域570を有する一般的なRFシステムについての均一ではないグラフを図示している。
図6は、本発明の実施例によるDC/RFH処理システムについての典型的な処理データ及び一般的なRFシステムを図示している。第1処理データ610はDC/RFH処理システムについてのEEDfデータを有する。第2処理データ620は一般的なRFシステムについてのEEDfデータを有する。第1処理データ610は、高エネルギーの非マクスウエル分布領域、ビーム波相互作用領域、及びBE領域を有して良い。高エネルギーの非マクスウエル分布領域は、ランダウ減衰を介して「増やす」50-200eV範囲を有して良い。
図7は、本発明の実施例によるDC/RFH処理システムについての実験手順に係る典型的な実験系を図示している。実験系は、RF電極及びDC電極によって取り囲まれている主プラズマ領域を有して良い。測定領域はRF電極の外側に設定されて良い。測定領域は超デバイ捕獲期間検出器及びサブデバイ放出期間検出器を有して良い。たとえば第1ターボ分子ポンプは、ゲートバルブを介して測定領域と結合して良く、かつ測定領域内の圧力を制御して良い。第1排気バフルは、プラズマの存在しない排気領域を設けるように備えられて良い。たとえば第2ターボポンプは、振り子式バルブを介してプラズマの存在しない排気領域に結合し、かつプラズマの存在しない排気領域内の圧力を制御して良い。
図8は、本発明による実験用DC/RFH処理システムについての典型的なテスト構造の分解図を表している。テスト構造800は、複数の超デバイ捕獲期間検出器810及び複数のサブデバイ放出期間検出器820を有して良い。一部の例では、1つ以上のテスト構造が、処理チャンバ(図2A-2Bの210)の底部及び/又は側部に設けられて良い。
図9は、本発明の実施例によるDC/RFH処理システムの典型的な検出器を図示している。図9は、超デバイ捕獲期間検出器810及びサブデバイ放出期間検出器820を有して良い。超デバイ検出器は常に(捕獲期間と放出期間のいずれの間も)バルクプラズマの異方性電子を測定して良い。サブデバイ検出器は、|VRF|<800Vのときに、〜30〜40nsの放出期間中に異方性電子のみを測定して良い。サブデバイ検出器は、RF電極へ放出された時間分解高エネルギー電子束|VRF|を測定して良い。
図10A及び図10Bは本発明の実施例による典型的なサブデバイ放出期間検出器のデータを図示している。DC/RFH処理システムのデータは13.56MHzバイアスの例を有して良い。ここでは、DC電圧は約-1000V(約2kW)であって良く、RF出力は約1kW〜約3kWの間で変化して良い。
図10Aは、上側DC電極1010、RFバイアス電極1020、及び接地電極1030を有する他の典型的なDC/RFH処理チャンバを図示している。DC電極1010は高い負のVDC(-800V)が印加された上側電極であって良い。熱電子は複数の接地電極1030のうちの1つに戻って良い。弾道電子(BE)はDC/RFH処理チャンバ内において約1nsの遷移時間を有して良い。DC/RFH処理チャンバでは、DC電極310はRF電極320に対向して設けられなければならない。
図10Bは、第1RF電圧1050と第1ピーク電圧1060が示されている電圧の時間変化のグラフを表している。それに加えて、典型的な放出期間1055も示されている。放出期間1055の長さは約30nsであって良い。たとえば、VRF(t)は13.56MHzでRF電極1020に印加される電圧(2000V)であって良く、VP(t)は約25Vであって良く、かつVPPはバイアス基板上に印加されるピーク間RF電圧(2100V)であって良い。
図11は本発明の実施例によるDC/RFH処理システムについての典型的な処理データを表している。第1処理データ110は、電子エネルギー(eV)のデータに対する電流(A)のデータを示すグラフを有する。第2処理データ120は、DC/RFH処理システムについての電子エネルギー(eV)に対するEEDf(任意単位)のデータを示すグラフを有する。たとえば中間エネルギーピークは三角形の形状を有し、かつ電流と圧力に依存して良い。たとえば図11は、DC/RFH処理システムがマクスウエル分布(熱バルク/バックグラウンド)及び中間エネルギーピークを有すること、並びに、中間エネルギーピークが最も効率的な衝突−電離の範囲内にあることを示している。つまり中間エネルギーピークの電子はプラズマの持続及び支持を行う。その結果(つまりプラズマ電離がほとんどすべて中間エネルギーピークの電子によって支持されることで)、プラズマのマクスウエル分布を示す熱バルクは「主として」粒子の均衡をとろうとする(つまりマクスウエル分布を示す熱バルクの電子温度Teは、イオン損失の項を大きくし、かつ、定常状態では電子の損失とイオンの損失は等しい。)。
たとえば、逆ランダウ過程は、位相速度が最初のBEビーム速度に等しい(νφb)ラングミュア波(電子プラズマ波)を生成することが可能で、最初のBEエネルギーは900eV±10eVで、中間エネルギーピークは常に同様の形状で、かつ効率的な電離のエネルギー範囲内で、BEのピーク形状は電流、圧力等に依存して変化する。
それに加えて、グラフ(1110及び1120)は、プラズマEEDfを制御することによって、プラズマ化学反応が制御可能であることを示している。EEDfは、電子分布が一部のDC/RFH処理で〜6eV〜17eVのエネルギー範囲内であり、かつDC/RFH処理で用いられる分子種についての電子分布を抑制できるように制御される。その理由は、上記のエネルギー範囲にある電子は分子を解離することでフッ素(F)、酸素(O)イオン等を生成し、かつVUV放射線を発生させる恐れがあること、及び、各異なる分子について、その範囲はわずかに異なるからである(6〜17eVは単なる一例である)。
図12は本発明の実施例によるDC/RFH処理システムについての典型的な弾道電子(BE)のデータを表している。第1処理データ1210は、DC電圧(V)のデータに対するイオン電流密度のデータを示すグラフを有する。たとえば大きな(BE)流は基板へ放出されて良い。その(BE)流はサブデバイ放出期間中でのイオン電流に匹敵して良い。それに加えて、RF電極へのプラズマ圧力及びRF出力は、図12に図示されたすべてのDC電圧について50mTorr及び700Wに保持された。2次電子収率はイオン衝突下では〜<0.1なので、BE電流密度はDC電極へのイオン電流密度の10倍未満でなければならない。BE増幅は捕獲に起因する。2次電子は電極を起源とする。
図13は本発明の実施例によるDC/RFH処理システムについての典型的な処理結果のデータを表している。その処理結果のデータは、DC/RFH処理システムについての電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータのグラフを複数有する。これらの処理中、13.56MHzのRFバイアス出力は約800Wで、かつDC電圧は約-800Vであって良い。たとえば、電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータの複数のグラフは、様々な圧力及び様々なエネルギー(eV)での典型的な中間エネルギーピークのデータ(300eV@30mt, 120eV@40mt, 35eV@50mt)を有して良く、かつ、中間エネルギーピークのデータはこれらの様々な圧力に依存して良い。それに加えて、電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータの複数のグラフは、様々な圧力 (30mt, 40mt, 50mt, 60mt, 70mt)での典型的なBEのピークのデータを有して良く、かつ、中間エネルギーピークのデータはこれらの様々な圧力に依存して良い。
図14は本発明の実施例によるDC/RFH処理システムについての典型的な低エネルギーでの処理結果のデータを表している。その処理結果のデータは、DC/RFH処理システムについての電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータのグラフを複数有する。
これらの処理中、13.56MHzのRFバイアス出力は約800Wで、DC電圧は約-800Vであって良く、かつプロセスガスはアルゴン(Ar)のみだった。他の処理中、プロセスガスが用いられるときには他の処理結果が期待できる。たとえば、電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータの複数のグラフは、様々な圧力での典型的なデータを有して良く、かつ、「中間ピーク+マクスウエル分布」のデータはこれらの様々な圧力に依存して良い。第1の低エネルギー処理結果のデータ(1410)は50mt処理についての35eVの中間エネルギーピークを有する。第2の低エネルギー処理結果のデータ(1420)は60mt処理についての「中間ピーク+マクスウエル分布」のデータを有する。第3の低エネルギー処理結果のデータ(1430)は、70mt処理についての「中間ピーク+マクスウエル分布」のデータを有する。悪い処理結果を有する第1領域(1450)が図示されている。良い処理結果を有する第2領域(1460)が図示されている。たとえば、50mtの中間エネルギーピークは〜35eVにそのピークエネルギーを有する。つまり30mt, 40mt, 50mt, 60mt, 70mtについてのすべてのマクスウエル分布データは1つになり、かつこれは「非常に良好」である。その理由は、これらのDC/RFH圧力では、電離は効率的で、かつエネルギーはマクスウエル分布を示す熱バルク分布には送られないためである。すべてのマクスウエル分布を示すデータはTe〜1.8eVで1つになり、これは良好な結果である。
図14はさらに60mt及び70mtの条件を表している。60mt及び70mtの条件での中間エネルギーピークのエネルギーは、その中間エネルギーピークがマクスウエル分布を示すデータと1つになってしまうほど低い。その結果、(この例については)〜6eV〜17eVの範囲での電子分布はかなり送り出されてしまい、これは化学反応にとっては悪い。なぜなら(かなり多くの)分子の解離とVUV放射線の発生が起こるからである。それに加えて、図示されたデータは純粋なArであり、かつDC/RFH処理レシピについてのパラメータは多少異なる。
図15A及び図15Bは、本発明の実施例によるDC/RFH処理システムについての典型的なマクスウエル分布が1つになったデータを表している。処理データの結果は、DC/RFH処理システムについての電子エネルギー(eV)データに対するEEDf(任意単位)のデータのグラフを複数有して良い。処理データの結果はDC電圧に対する依存性を示している。これらの処理中、13.56MHzのRFバイアス出力は約800Wで、チャンバ圧力は約30mtで、かつDC電圧は約-300V〜約-900Vまで変化させた。図15Aは、約250eV〜約350eVでの様々なDC電圧(-300V, -400V, -600V, -800V,-900V)についての中間エネルギーピークを図示している。図15Bは、約25eV未満での様々なDC電圧(-300V, -400V, -600V, -800V,-900V)についてのマクスウエル分布を示すデータが1つになる様子を図示している。領域1510が示されている。領域1510でのeVの値は、「DC/RFH処理にとって良好」である。
たとえば図15A及び図15Bは、マクスウエル分布を示す熱バルクのTeがほぼ一定であり、大きく変化しないことを示している。これは、プラズマの電離がほとんど中間エネルギーピークの電子によって支持され、プラズマのマクスウエル分布を示す熱バルクが「主として」粒子の均衡をとろうとするためであると考えられる。それに加えて、マクスウエル分布を示す熱バルクデバイスのTeは、イオン損失の項を大きくし、かつ、定常状態では電子の損失とイオンの損失は等しい。)。
図16は、本発明の実施例によるDC/RFH処理システムについての典型的な弾道電子(BE)エネルギーのデータを表している。(BE)エネルギーのデータは、DC/RFH処理システムについての電子エネルギー(eV)データに対するEEDf(任意単位)データのグラフを複数有して良い。これらの処理中、チャンバ圧力は約40mTで、13.56MHzのバイアス出力は約200W〜約1200Wまで変化させ、かつDC電圧は約-800Vであった。図16は、様々なRF出力についての中間エネルギーピークを図示している(34eV@400W, 110eV@600W, 130eV@800W, 175eV@1000W, 190eV@1200W)。(BE)エネルギーデータはRF出力に対する依存性を示している。
図17A及び図17Bは、本発明の実施例によるDC/RFH処理システムについての追加の典型的な弾道電子(BE)エネルギーのデータを表している。図17Aは様々なRF出力についての中間エネルギーピークを図示している(8eV@200W, 34eV@400W, 110eV@600W, 130eV@800W, 175eV@1000W, 190eV@1200W)。これらの処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力は約200W〜約1200Wまで変化させ、かつDC電圧は約-800Vであった。図17Bは様々なRF出力についての中間エネルギーピークを図示している(130eV@600W, 170eV@800W, 203eV@1000W, 228eV@1200W)。(BE)エネルギーのデータはRF出力に対する依存性を示している。これらの処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力は約200W〜約1200Wまで変化させ、かつDC電圧は約-600Vであった。このデータは、中間エネルギーピークを示し、かつ印加されたRF出力に依存していると考えられる。
図18は、本発明の実施例によるDC/RFH処理システムについての追加の典型的な弾道電子(BE)エネルギーのデータを表している。(BE)エネルギーのデータは、DC/RFH処理システムについての電子エネルギー(eV)データに対するEEDf(任意単位)のデータのグラフを複数有して良い。これらの処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力は約200W〜約1200Wまで変化させ、かつDC電圧は約-300VDCであった。図18は様々なRF出力についての中間エネルギーピークを図示している(155eV@600W, 190eV@800W, 218eV@1000W)。(BE)エネルギーのデータは、中間エネルギーピークがこれらの処理条件では1つになろうとし始めていることを示している。
図19は本発明の実施例によるDC/RFH処理システムについての典型的なマクスウエル分布を示す(熱エネルギーの)データを表している。そのマクスウエル分布を示す(熱エネルギーの)データは、DC/RFH処理システムについての電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータのグラフを複数有して良い。これらの処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力は約600W〜約1200Wまで変化させ、かつDC電圧は約-800VDCであった。
図19は、様々なRF出力についてのマクスウエル分布を示す(熱エネルギーの)データを図示している(8eV@200W, 34eV@400W)。そのマクスウエル分布を示す(熱エネルギーの)データはこれらの処理条件では実質的に一定であることを示している。たとえば、熱分布のマクスウエル温度Teは、RF出力、DC電圧、及びチャンバ圧力にかかわらず約1.8eVであって良い。RF補償されたラングミュアプローブは約2eVのTeを測定することが可能で、かつ独立したレーザートムソン散乱は1.77eVである。
図20A、図20B、及び図20Cは本発明の実施例によるDC/RFH処理システムについての追加の典型的な電子エネルギー分布のデータを表している。その電子エネルギー分布のデータは、DC/RFH処理システムについての電子エネルギー(eV)のデータに対する規格化されたEEDf(任意単位)のデータのグラフを複数有して良い。その電子エネルギー分布のデータは圧力及びDC電圧に対する依存性を示している。これらの処理中、チャンバ圧力は約50mTで、13.56MHzのRFバイアス出力は約800Wで、かつDC電圧は約-300V〜約-800Vまで変化させた。図20Aは、様々なDC電圧(-300V, -400V, -600V, -800V, -900V)について第1領域2010内でマクスウエル分布を示すデータと1つになろうとする中間エネルギーピークを図示している。図20Aは、様々なDC電圧(-300V, -400V, -600V, -800V, -900V)について様々な位置での弾道電子(BE)のエネルギーのピークを表している。図20Bは、様々なDC電圧(-300V, -400V, -600V, -800V, -900V)について第2領域2020内でマクスウエル分布を示すデータと1つになろうとする中間エネルギーピークを図示している。図20Bは、様々なDC電圧(-300V, -400V, -600V, -800V, -900V)について様々な位置での弾道電子(BE)のエネルギーのピークを表している。図20Cは、様々なDC電圧(-300V, -400V, -600V, -800V, -900V)について第3領域2030内でマクスウエル分布を示すデータと1つになった中間エネルギーピークを図示している。図20Cは、様々なDC電圧(-300V, -400V, -600V, -800V, -900V)について様々な位置での弾道電子(BE)のエネルギーのピークを表している。
図21は本発明の実施例によるDC/RFH処理システムについての波のエネルギー分布の典型的な流れ図を表している。このデータは、レート方程式を用いることによって、如何にして高νφの初期ラングミュア波がプラズマへ送られるのかを示している。W1、W2、及びWsは、1次静電波のエネルギー密度、2次静電波のエネルギー密度、及びイオンエネルギー波のエネルギー密度である。TSは2つの流れを表し、OTSは2つの流れの振動を表し、DOは静電波とイオン波との間での相互作用で、Lはランダウ減衰で、かつDWは非線形のイオン波減衰項である。ラングミュア波(W1、1次波)は2次波(W2)へ速度を落とさなければならない。その後低エネルギー電子によってランダウ減衰が起こると考えられる。W1の速度が落ちることでイオン波(Ws)は励起される。よって新たなエネルギー結合チャネルが促進される。それに加えて、注入された高エネルギー電子(たとえば1kV)は基本的には衝突を起こさない。しかし弾道電子ビームは、位相速度がBEの速度に等しい(νφb)ラングミュア波(W1、1次プラズマ波)を生成する。
図22A及び図22Bは本発明の実施例によるDC/RFH処理システムについての波の不安定性についてのまとめを表している。図22AはDC/RFH処理システムについてのイオン波数(k)のデータに対するラジアン周波数(ω)のデータのグラフを複数有する。たとえば高位相速度の波からなる第1群W1は、次式で示される式(1)を用いることによって図示及び決定することができる。
Figure 2011071522
続いて低位相速度の波からなる第2群W2は、次式で示される式(2)を用いることによって図示及び決定することができる。
Figure 2011071522
それに加えて、2つの流れの不安定性を介した逆ランダウ波、すなわち弾道電子は、一定のω(での熱広がりを有する)の1次ラングミュア波を励起する。ここでνφ〜νbである。
図22Bは複数の注釈及び参考文献を有する。たとえば、波の不安定性は次のようにまとめることができる。(1)主枝(main branch):振動する2つの流れの不安定性(OTS)(非特許文献2)⇒W1は波長の短い第2波W2とイオン波Wsに変換される。W2のνφが減少するのは低速度の電子及びマクスウエル分布のすそによるランダウ減衰によるもので、減衰するものの分布は増大する。不安定成長速度(非特許文献6,7)(W2の成長速度はΓOTSで、me/miは電子とイオンとの質量比で、Tはプラズマ温度で、ε1はW1のエネルギー密度である)。(2)パラメトリック振動と移動フレームωpからラップフレームωpへのドップラーシフトのいずれもω<ωpの減衰波(複素数)を生成する。イオン波を用いることなく(非特許文献3,4)、ω<ωpの波は熱電子によって短波長νφとなるように減衰する。よって減少したνφはランダウ減衰を介してマクスウエル分布のすそと結合することができる。また静電波は、相互作用によって広がり、かつイオン密度波の周波数に近づくことで、そのイオン密度波と強く結合することができる。よってイオン波は、チャンバサイズに固有の周波数(たとえば20kHz)で共鳴するように強くなりうる。(3)静電波とイオン波との間の相互作用(DO) (非特許文献2)⇒ Wsが強くなることで、イオン波は高エネルギーνφプラズマ波と非線形的に相互作用し、それにより第2波W2(とWS)のエネルギー密度を増大させる。多くのイオンが第1波を容易に、波長の短い(νφの小さな)第2波へ減衰させる。W2の成長速度は(非特許文献8,9)はGDOである。ここでεsはイオン波のエネルギー密度で、ksはイオン波の波数で、λDはデバイ長である。
図23は、本発明の実施例によるビームプラズマのデータ及び関連参考文献を示している。グラフはε(eV)のデータに対するf(ε)を表している。これらの参考文献は、(3cmの)DC/RFH処理システムで用いられる結合プラズマを含まない。これらは開放系(未結合プラズマの)実験である。連続体の分布は高い。中間エネルギーピークが存在しない。実験での圧力は一般的には衝突しない。注入電流領域での電流が高くなればなるほど注入された電子ビームはより広がる。
図24は本発明の実施例によるランダウ及び逆ランダウ波についての典型的なプラズマ波を表している。(1) BEはシース場による連続的な加速によってエネルギーを得た。特定のνφランダウ減衰はνφのエネルギーに相当する単色電子にする。前記特定のνφよりも小さな速度を有する電子は波動場によって加速され、ランダウ減衰はその波を減衰させる。前記特定のνφよりも大きな速度を有する電子は逆ランダウ減衰として波へ送り戻されるエネルギーを超える。正味の効果はνφに相当するエネルギー前後の電子が存在しなくなることである。νφに相当するエネルギーを有する電子の分布のみが大きくなる一方で、νφに相当する電子はそのエネルギーを失う。この過程は、波のエネルギーが単色電子群の全エネルギーに等しくなるまで継続される。より詳細には、この単色電子群の分布はdN/dt =(供給)+(損失)= 0によって決定される。この追加的損失は電子の非弾性衝突(たとえば電離)である。(3) 高エネルギー電子は、衝突するときよりも、プラズマ波を励起するときの方が、かなり多くのエネルギーを失う「恐れ」がある。衝突によるエネルギー損失時間は〜MFP/νφに比例する。電子波の励起時間はλ/νφ〜fP -1に比例する。
図25A、図25B、及び図25Cは本発明の実施例によるDC/RFH処理システムについての追加の弾道電子(BE)のデータを表している。(BE)データは、DC/RFH処理システムについての(BE)ピークシフトのデータに対する(BE)エネルギー広がりのグラフを複数有して良い。図25Aに図示された処理中、チャンバ圧力は約20mTで、13.56MHzのRFバイアス出力は約800Wで、かつDC電圧は約-800Vだった。図25Bに図示された処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力は約800Wで、かつDC電圧は約-300V〜約-800Vまで変化させた。図25Cに図示された処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力は約200W〜約1200Wまで変化させ、かつDC電圧は約-800Vだった。
たとえば弾道電子(BE)のエネルギーは次式に示される(3)を用いることによって計算することができる。
Figure 2011071522
ここで、γeffは衝突周波数で、Lは長さで、Vbはビーム速度で、nbはビーム密度である。
図26A、図26B、及び図26Cは本発明の実施例によるDC/RFH処理システムについての中間エネルギーピークのデータを表している。その中間エネルギーピークのデータは、DC/RFH処理システムによって用いられる処理パラメータに対する三角形状の中間エネルギーピークの依存性のグラフを複数有して良い。図26Aに図示された処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力は約200W〜約1200Wまで変化させ、かつ(BE)電圧は約800Vだった。図26Bに図示された処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力は約800Wで、かつ(BE)電圧は約300V〜約900Vまで変化させた。図26Cに図示された処理中、チャンバ圧力は約20mT〜約70mTまで変化させ、13.56MHzのRFバイアス出力は約800Wで、かつ(BE)電圧は約800Vだった。
たとえばシース厚さ(S)は次式に示される(4)を用いることによって計算することができる。
Figure 2011071522
ここでV0=(熱電子の存在しないシースポテンシャル)〜RFVPPである。
図27は本発明の実施例による追加のプラズマに関する参考文献を表している。これらの参考文献は結合プラズマ中でのラングミュア波のデータを有している。シース端部がラングミュア波を反射するためにプラズマ波が熱電子の存在しないシース内部には存在できないということは周知である。たとえば(1)には非特許文献10が紹介され、かつ(2)には非特許文献11が紹介されている。それに加えて、プラズマシース端部での反射は定在波を生じさせる。それによりポテンシャル波の電場が顕著に改善される。多モード定在波は広帯域(エネルギー)の高エネルギー電子を生成するランダウ減衰である。従って単一ピークを発生させるためには定在波が存在しなければならない。
図28は本発明の実施例による典型的な結合プラズマのデータを表している。その典型的な結合プラズマのデータは結合プラズマ中でのDC-DCシースのデータを有して良い。シース端部でのプラズマ電子波は反射されるので(非特許文献12)、波は電子の存在しないシース領域内には存在できないということは周知である。たとえば多くの定在波モードは許される。つまり波長間隔が2L/(n2+n)に比例する多くの中間エネルギーピークが許される。これは基本的には連続体である。低νφモード(〜20eV<EM<300eV=効率的な電離)は2つの電極間に局在し、その2つの電極間で反射しながら、衝突の心配をすることなく進展することができる。つまりは中空陰極である。
図29は本発明の実施例による他の追加のプラズマに関する参考文献を表している。これらの参考文献は、結合プラズマ中でのDC-RFシースについてのデータを有する。中間エネルギーピークは底辺の幅がほぼ一定の三角形を有して良い。DC/RFH実験範囲はne〜2〜4×1010cm-3(ωP/2π〜1.6GHz)であって良い。LにもL+Sにも適合する最低の整数モード(最高のνφ)はλ〜2Sである。30mt/-800Vの例については、視覚的に観察されるSは〜3mm〜λ/2である。これはνφ〜107m/s≒280eVを与える。この数字は厳密ではないがすべての傾向に一致する。
図30A及び図30Bは、本発明の実施例による定在波共鳴(SWR)のデータ及びまとめのデータを表している。図30Aは本発明の実施例による定在波共鳴(SWR)のデータを表す。一部の実施例では、SWRモデルはEMを決定するのに用いられて良い。
一部の実施例では、1つ以上の単純な方程式が用いられて良い。
たとえば中間エネルギーピークのエネルギーは計算することが可能なので、EMは次式に示す式(5)で定義することができる。
Figure 2011071522
それに加えて、シース厚さ(S)は次式に示す式(6)を用いることによって近似することができる。
Figure 2011071522
図30Aに図示されているように、中間エネルギーピークEMは次式に示す式(7,8,9)を用いることによって近似することができる。
Figure 2011071522
Figure 2011071522
それに加えて、Teが一定の値であるとき、中間エネルギーピークEMは次式に示す式(10)を用いることによって近似することができる。
Figure 2011071522
ここでνBはボーム速度である。従来のRF(CCP)では、νBは単純で、かつマクスウエル温度Teに関連づけられる。しかしDC/RFH処理システムでは、νBはより複雑になる恐れがある。フィッティングのずれが生じるからである。曲線フィッティングは、νBがTeの平方根にのみ比例することを前提として、多くの高エネルギー電子がRFシース境界を交差することを考慮していない。しかし依然として十分妥当なフッティングが実現されている。フィッティングの傾向は、νBが式(8)によって修正される場合にはよくフィッティングしうる。しかしβの値は近似されることになる。なぜならβの値を厳密に決定することは非常に難しいからである。DC/RFH処理システム(レシピ)が開発される場合において、SWR曲線(図30A)の履歴が入手不可能なときには、SWRが開発されて良い。一部の実施例では、1つ以上のテスト処理が実行されて良い。ここで、VPPは、EEDfデータ及び/若しくはSWR曲線データの開発、更新、並びに/又は検証を行うために測定されて良い。他の実施例では、1つ以上のイオンエネルギーアナライザ(IEA)がウエハを検査し、かつ/あるいは、センサは、EEDfデータ及び/若しくはSWR曲線データの開発、更新、並びに/又は検証を行うのに用いられて良い。
Arが豊富に含まれるレシピでは、処理VPPは約1200V以上であって良く、かつ、VPPはDC/RFH処理チャンバのVdcを約600Vにすることが可能である。ここでVdcはRFバイアス印加されたウエハの自己バイアスである。それに加えて、イオンエネルギーは、約600eV未満の値で設定されて良い。なぜなら約600eVよりも大きな値のイオンエネルギーはハードマスク角部のスパッタリングといった問題を引き起こす恐れがあるからである。一部のDC/RFH処理中、C4F8又はC5F8が多くてO2が少ないプロセスガスの化学組成は、より硬いポリマーを生成することで、ハードマスク角部をスパッタリングする問題を解決するのに用いられて良い。
DC/RFH処理が開発されるとき、EMデータが非常に重要である。なぜなら、EMが小さすぎる場合には、中間エネルギーピークの電子がマクスウエル分布を示す熱バルクに押し込められることで、約6eV〜約17eVの範囲内の値を有するマクスウエル分布のすその分布に入り込むことになる恐れがあるからである。
図30BはDC/RFH処理システムについての一部のまとめデータを表している。第1組のグラフ(3010)は、一部のDC/RFH処理について、すべてのマクスウエル分布を示す曲線が1つになりうることを示している。(処理条件にかかわらず)複数のDC/RFH処理について1つのマクスウエル分布を示す曲線で近似できるときには、DC/RFH処理に用いられる気相化学物質の組成を単純にすることが可能である。他の典型的な処理について、約200eVよりも小さな中間エネルギーピークを有する第2グラフ(3020)が図示されている。一部のDC/RFH処理では、定在波共鳴は、好適モードを局在化し、かつ効率的な電離範囲内にエネルギーを有する中間エネルギーピークとなりうる。たとえば、弾道電子(BE)が局在化したプラズマ波を生成して良く、かつ、BEがエネルギーを失うときにはイオン波が生成される。それに加えて、RFシースが崩壊することで、捕獲されたBE及び局在化したプラズマ波はウエハへ送られることで、電子ビームが誘起する表面化学反応が発生/制御され、かつHAR部位のシェーディングが減少する。
図31A、図31B、及び図31Cは、本発明の実施例による反応性イオンエッチング(RIE)システムについての処理データを表している。図31Aは、RIEシステムについての電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータのグラフ(3110)、及び、RIEシステムについての電子エネルギー(eV)のデータに対する電流(A)のデータのグラフ(3120)を有する。図31Bは、SWRモデルを用いて決定された、RIEシステムについてのシースVPP(V)のデータに対する中間エネルギーピークのエネルギーEM(eV)のデータのグラフを有する。図31Cは、RIEシステムについての電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータのグラフ(3150)、及び、RIEシステムについての走査電圧(-V)に対する電流(A)のグラフ(3160)を有する。
それに加えて、グラフ(3110、3120、3150、及び3160)は、プラズマEEDfを制御することによって、プラズマ化学反応を制御することができることを示している。EEDfは、電子分布が一部のDC/RFH処理で〜6eV〜17eVのエネルギー範囲内であり、かつDC/RFH処理で用いられる分子種についての電子分布を抑制できるように制御される。その理由は、上記のエネルギー範囲にある電子は分子を解離することでフッ素(F)、酸素(O)イオン等を生成し、かつVUV放射線を発生させる恐れがあること、及び、各異なる分子について、その範囲はわずかに異なるからである(6〜17eVは単なる一例である)。
図32は本発明の実施例によるDC/RFH処理システムについてのプロセスガスのデータを表している。(BE)データはDC/RFH処理システムについての(BE)エネルギー広がりと(BE)ピークシフトのデータのグラフを複数有して良い。図32はDC/RFH処理システムについての電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータの第1グラフを有する。この第1処理中、チャンバ圧力は約30mTで、13.56MHzのRFバイアス出力は約600Wで、DC電圧は約-600VDCで、かつプロセスガス(A)はArを含む。図32はまた、DC/RFH処理システムについての電子エネルギー(eV)のデータに対するEEDf(任意単位)のデータの第2グラフ(3220)をも有する。この第2処理中、チャンバ圧力は約30mTで、13.56MHzのRFバイアス出力は約600Wで、DC電圧は約-600VDCで、かつプロセスガス(B)はAr/O2を含む。グラフ(3210及び3220)は、「連続体」部分はRF電極に依存しないことを示している。「600eV弾道ピーク」がグラフ(3210)とグラフ(3220)のいずれにも示されている。
図33は本発明の実施例によるDC/RFH処理システムについてのパルス状RFデータを表している。図33は、DC/RFH処理システムについての時間(μs)のデータに対するIdump(μA)の第1グラフ(3310)を有する。この第1処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力(約300W)がパルス状にされ、かつDC電圧は約-900VDCだった。図33は、DC/RFH処理システムについての時間(μs)のデータに対するIdump(μA)の第2グラフ(3320)を有する。この第2処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力(約300W)がパルス状にされ、かつDC電圧は約-800VDCだった。図33は、DC/RFH処理システムについての時間(μs)のデータに対するIdump(μA)の第3グラフ(3330)を有する。この第3処理中、チャンバ圧力は約40mTで、13.56MHzのRFバイアス出力(約300W)がパルス状にされ、かつDC電圧は約-700VDCだった。それに加えて、RF信号がオフ(0W)にされている第1領域3350、及び、RF信号がオン(600W)にされている第2領域3360が図示されている。
図34は本発明の実施例によるDC/RFH処理システムについての平均自由行程のデータを表している。図34は、約0.1mTのチャンバ圧力での電子エネルギー(eV)に対する平均自由行程(cm)のデータの第1グラフ(3410)、約0.4mTのチャンバ圧力での電子エネルギー(eV)に対する平均自由行程(cm)のデータの第2グラフ(3420)、約20mTのチャンバ圧力での電子エネルギー(eV)に対する平均自由行程(cm)のデータの第3グラフ(3430)、約40mTのチャンバ圧力での電子エネルギー(eV)に対する平均自由行程(cm)のデータの第4グラフ(3440)、及び約50mTのチャンバ圧力での電子エネルギー(eV)に対する平均自由行程(cm)のデータの第5グラフ(3450)を有する。このデータは圧力に対する依存性を示している。
本願発明者らは、(1)プラズマ電子エネルギー分布(EEDf)は、エッチングに影響を及ぼすバルクプラズマの物理及び化学特性を制御し、かつEEDfはDC/RFH処理システム内において制御されなければならず、並びに、(2)EEDfの高エネルギー部分がウエハシースへ入り込んでDC/RFH処理システム内のウエハと接するとき、エッチング速度、選択性、及びプロファイルに肯定的な効果が生じ、かつ、DC/RFH処理システム内のDC+RF源は、前記肯定的な効果を制御及び/又は最適化するように備えることができる、と判断した。
図35は本発明の実施例による直流(DC)/高周波(RF)ハイブリッド(DC/RFH)処理システムを用いた第1組の基板の処理方法に係る典型的なフロー図を示している。
3510では、第1組の基板が、DC/RFH処理システム又は該DC/RFH処理システムと結合する搬送サブシステムによって受け取られて良い。基板は1層以上の上に堆積された層を有して良い。前記堆積された層は、反射防止コーティング(ARC)材料、底部反射防止コーティング(BARC)材料、上部反射防止コーティング(TARC)材料、有機平坦化層(OPL)材料、有機誘電体層(ODL)材料、単周波数レジスト材料、デュアルトーンレジスト材料、冷凍(可能な)レジスト材料、紫外(UV)レジスト材料、若しくは極紫外(EUV)レジスト材料、又はこれらの混合材料を有して良い。たとえば一部のDC/RFH処理中、基板は上に少なくとも1層の未露光層を有して良く、かつ、DC/RFH処理中、基板は上に少なくとも1層の露光層を有して良い。DC/RFH処理が二重露光シーケンス中に実行されるとき、各異なるDC/RFHレシピが各異なる二重露光シーケンス中に用いられて良い。
3515では、第1組の基板中の第1基板について第1電子エネルギー分布関数(EEDf)1のデータが決定されて良い。前記第1(EEDf)1データは、マクスウエル分布を示す成分、高エネルギー成分、及び中間エネルギー成分のうちの少なくとも1つを有して良い。前記中間エネルギー成分はマクスウエル分布を示す成分と高エネルギー成分との間に位置して良い。前記中間エネルギー成分は少なくとも1つの中間エネルギーピークを有して良い。
3520では、前記第1(EEDf)1データを用いることによって、第1基板についての第1DC/RFHプロセスレシピが決定されて良い。一部の実施例では、前記第1DC/RFHプロセスレシピは前記の第1(EEDf)1データの中間エネルギーピークを用いて決定されて良い。他の実施例では、前記第1DC/RFHプロセスレシピは、前記マクスウエル分布を示す成分、前記高エネルギー成分、及び前記中間エネルギー成分のうちの少なくとも1つを用いて決定されて良い。
3525では、前記第1基板が前記第1DC/RFHプロセスレシピを用いて処理されて良い。一部のDC/RFHでは、第1プラズマが、処理領域(図2A-2Dの206)内で第1プロセスガスを用いることによって生成されて良い。図2A、図2B、図2C、及び図2Dに図示されているように、前記第1プラズマを生成するため、前記処理領域206は処理チャンバ(図2A-2Dの210)内に設けられて良く、かつ、RF発生装置230とDC供給サブシステム240は前記処理チャンバ(図2A-2Dの210)内のDC電極245と結合して良い。第1プロセスガスは前記処理チャンバ(図2A-2Dの210)へ供されて良い。前記第1プロセスガスの流速は、前記第1プラズマを最適化するために監視及び制御されて良い。
3530では、前記第1基板が処理される前、該処理の間、及び/又は再処理の後における処理(EEDf)1のデータが得られて良い。たとえば前記処理(EEDf)1のデータは、前記処理チャンバ(210)内部に備えられる少なくとも1つの超デバイ捕獲期間検出器及び/又は少なくとも1つのサブデバイ放出期間検出器を用いることによって得られて良い。それに加えて前記処理(EEDf)1のデータは、1つ以上のセンサ250又は1つ以上の測定デバイス234を用いることによって得られても良い。追加のデータが必要なときには、前記の第1被処理基板は、検査サブシステム(図1の150)及び/又は評価サブシステム(図1の160)へ搬送されて良い。前記第1基板についての検査及び/又は評価データを得ることができる。
3535では、前記第1組の基板についての危険性データが、前記の第1基板の処理(EEDf)1のデータを用いて決定されて良く、かつ、前記危険性データは、マクスウエル分布を示す成分の危険性データ、高エネルギー成分の危険性データ、中間エネルギー成分の危険性データ、及び/又は少なくとも1つの中間エネルギーピークの危険性データを有して良い。それに加えて、前記第1組の基板についての信頼性データが、前記の第1基板の処理(EEDf)1のデータを用いて決定されて良く、かつ、前記信頼性データは、マクスウエル分布を示す成分の信頼険性データ、高エネルギー成分の信頼性データ、中間エネルギー成分の信頼性データ、及び/又は少なくとも1つの中間エネルギーピークの信頼性データを有して良い。
3540では、前記危険性データが前記DC/RFHプロセスレシピについての(EEDf)1限界範囲内であるか否かを判断するのにクエリが用いられて良い。前記危険性データが前記のDC/RFHプロセスレシピについての(EEDf)1限界範囲内であるときには、処理3500は3545へ分岐して良く、かつ未処理基板が処理されて良い。前記危険性データが前記のDC/RFHプロセスレシピについての(EEDf)1限界範囲内でないときには、処理3500は3550へ分岐して良く、かつ1つ以上の補正行為が実行されて良い。
1つ以上の制御装置(114、124、134、144、154、164、及び190)が、前記第1組のパターニングされていない基板及び/若しくはパターニングされた基板のうちの1つ以上に係るリアルタイムデータ及び/若しくは履歴データの受信、決定、並びに/又は送信に用いられて良い。たとえば前記リアルタイムデータ及び/若しくは履歴データは、パターニングされていないマスク層及び/又はパターニングされたマスク層についての(EEDf)データ、マスク部位についての(EEDf)データ、及び、少なくとも1つの周期的評価構造についての計測データを有して良い。それに加えて前記計測データは、プロファイルデータ、回折信号データ、CDデータ、及び側壁角(SWA)データを有して良い。これらのデータは、エッチングプロセスについての限界を設定するのに用いることができる。
一部の例では、補正行為は、前記処理を中止する工程、前記処理を中断する工程、前記基板のうちの1枚以上を再評価する工程、前記基板のうちの1枚以上を再測定する工程、前記基板のうちの1枚以上を再検査する工程、前記基板のうちの1枚以上を再加工する工程、前記基板のうちの1枚以上を洗浄する工程、前記基板のうちの1枚以上の処理を延期する工程、若しくは前記基板のうちの1枚以上を剥離する工程、又は上記工程を組み合わせた工程を有して良い。
補正行為は前記基板のうちの1枚以上についての新たな(EEDf)データ及び/又は更新された(EEDf)データを計算する工程を有して良い。それに加えて補正行為は、前記危険性マップ及び/又は信頼性マップ内の1つ以上の値が限界範囲内ではないときに、1つ以上の位置によって要求される評価位置の数を増大させる工程、及び、前記危険性マップ及び/又は信頼性マップ内の1つ以上の値が限界範囲内であるときに、1つ以上の位置によって要求される評価位置の数を減少させる工程、を有して良い。
ある例では、前記DC/RFH処理についての個々の信頼値及び/又は全体の信頼値は、個々の信頼限界及び/又は全体の信頼限界と比較されて良い。前記信頼限界のうちの1つ以上が満たされている場合には、1組の基板の処理は続けられて良い。あるいは、前記信頼限界のうちの1つ以上が満たされていない場合には、補正行為が適用されて良い。補正行為は、前記第1組の基板中の1つ以上の追加基板についての信頼限界を設定する工程、前記の追加基板についての信頼値を追加の信頼限界と比較する工程、及び、前記追加の信頼限界のうちの1つ以上が満たされている場合に前記DC/RFH処理を継続し、又は、前記追加の信頼限界のうちの1つ以上が満たされていない場合に前記DC/RFH処理を中止する工程を有して良い。
他の例では、前記基板についての個々の危険値及び/又は全体の危険値は個々の危険限界及び/又は全体の危険限界と比較されて良い。前記危険限界のうちの1つ以上が満たされる場合には1組の基板の処理は継続されて良い。あるいは前記危険限界のうちの1つ以上が満たされていない場合には補正行為が適用されて良い。補正行為は、前記第1組の基板中の1つ以上の追加基板についての危険限界を設定する工程、前記の追加基板についての危険値を追加の危険限界と比較する工程、及び、前記追加の危険限界のうちの1つ以上が満たされている場合に前記DC/RFH処理を継続し、又は、前記追加の危険限界のうちの1つ以上が満たされていない場合に前記DC/RFH処理を中止する工程を有して良い。
図36は本発明の実施例による誘電構造を用いたDC/RFH処理の典型例を図示している。図示された実施例では、2つの典型的な積層体(3600A及び3600B)が図示されている。しかしこれは本発明にとって必須ではない。あるいはその代わりに異なる数の積層体及び異なる数の構成が用いられても良い。
基板層3610、誘電層3620、及びマスク層3630を有する第1積層体3600Aが図示されている。たとえば前記基板層3610は、半導体材料、金属ゲート(HfO2)材料、ポリゲート材料、TiN材料、及び/又はアモルファスシリコン(a-Si)材料を有して良く、前記誘電層3620は、low-k材料及び/又は超low-k材料を有して良く、前記マスク層3630は、シリコン含有反射防止コーティング(SiARC)材料、ArFフォトレジスト材料、単周波数レジスト材料、デュアルトーンレジスト材料、冷凍(可能な)レジスト材料、紫外(UV)レジスト材料及び/若しくはARC材料、又は極紫外(EUV)レジスト材料及び/若しくはARC材料を有して良い。
基板層3610及びエッチングされた誘電層3650を有する第2積層体3600Bが図示されている。たとえば前記基板層3610は、半導体材料、金属ゲート(HfO2)材料、ポリゲート材料、TiN材料、及び/又はアモルファスシリコン(a-Si)材料を有して良く、前記エッチングされた誘電層3650は、エッチングされたlow-k材料及び/又は超low-k材料を有して良い。
前記エッチングされた誘電層3650は、複数の誘電体部位3670並びに複数の高アスペクト比のビア及び/若しくは高アスペクト比のコンタクト3660を有する。前記誘電体部位3670は、10nm〜500nmまで変化可能な厚さ(高さ)3671を有し、かつ10nm〜500nmまで変化可能な幅3672を有して良い。前記高アスペクト比のビア及び/又は高アスペクト比のコンタクト3660は、10nm〜500nmまで変化可能な深さ3661を有し、かつ10nm〜500nmまで変化可能な開口部3662を有して良い。前記DC/RFH処理が(EEDf)データを用いるとき、前記開口部3662はより円形の形状を有することが可能となり、かつ、前記の改善された形状は、前記高アスペクト比のビア及び/又は高アスペクト比のコンタクト3660をより均一に充填することを可能にする。
図37は本発明の実施例による直流(DC)/高周波(RF)ハイブリッド(DC/RFH)処理システムを用いた基板の別な処理方法に係る典型的なフロー図を示している。
3710では、1つ以上の基板が前記DC/RFH処理システムによって受け取られて良く、かつ、第1基板は前記DC/RFH処理システムの処理チャンバ内の基板ホルダ上に設けられて良い。前記基板は上に堆積された層を1層以上有して良い。前記の堆積された層は、ARC材料、BARC材料、TARC材料、有機平坦化層(OPL)材料、有機誘電層(ODL)材料、単周波数レジスト材料、デュアルトーンレジスト材料、冷凍(可能な)レジスト材料、紫外(UV)レジスト材料、若しくは極紫外(EUV)レジスト材料、又はこれらの混合材料を有して良い。たとえばあるDC/RFH処理中では、前記基板は該基板上に露光されていない層を少なくとも1層有して良く、他のDC/RFH処理中では、前記基板は該基板上に露光された層を少なくとも1層有して良い。前記DC/RFH処理が二重露光シーケンス中に実行されるとき、各異なる二重露光シーケンス中に各異なるDC/RFH処理が用いられて良い。
3715では、前記第1組の基板の中の第1基板についての第1電子エネルギー分布関数(EEDf)1のデータが決定されて良い。前記第1(EEDf)1のデータは、マクスウエル分布を示す成分、高エネルギー成分、及び中間エネルギー成分のうちの少なくとも1つを有して良い。前記中間エネルギー成分は、前記マクスウエル分布を示す成分と前記高エネルギー成分との間に位置して良い。前記中間エネルギー成分は、少なくとも1つの中間エネルギーピークを有して良い。
3720では、前記第1(EEDf)1のデータを用いることによって、第1基板についての第1DC/RFH処理及び第1DC/RFHプロセスレシピが決定されて良い。ある実施例では、前記第1DC/RFH処理及び第1プロセスレシピは前記中間エネルギーピークを用いて決定されて良い。他の実施例では、前記第1DC/RFH処理及び第1プロセスレシピは、前記マクスウエル分布を示す成分、前記高エネルギー成分、及び前記中間エネルギー成分のうちの少なくとも1つを用いて決定されて良い。
3725では、前記第1基板は前記第1DC/RFH処理によって設定された第1プロセスパラメータを用いて処理されて良い。あるDC/RFH処理では、前記第1プラズマは前記処理領域(図2A-2Dの206)内の第1プロセスガスを用いて生成されて良い。図2A、図2B、図2C、及び図2Dに図示されているように、前記第1プラズマを生成するため、前記処理領域(図2A-2Dの206)は処理チャンバ(図2A-2Dの210)内に設けられて良く、かつ、RF発生装置(図2A-2Dの230)及びDC供給サブシステム240は前記処理チャンバ(図2A-2Dの210)と結合して良い。前記処理チャンバ(図2A-2Dの210)には第1プロセスガスが供されて良い。前記第1プロセスガスの流速は、前記第1プラズマを最適化するために監視及び制御されて良い。
3730では、前記第1基板についてのEEDf関連データが、前記処理チャンバ内部に備えられているEEDf関連センサを用いることによってリアルタイムで得られて良い。追加データが必要なときには、前記第1の被処理基板が前記検査サブシステム(図1の150)及び/又は前記評価サブシステム(図1の150)へ搬送されて良く、かつ前記第1基板についての検査及び/又は評価データを得ることができる。たとえば前記EEDf関連データは、マクスウエル分布を示す成分のリアルタイムデータ、高エネルギー成分のリアルタイムデータ、中間エネルギー成分のリアルタイムデータ、及び/又は少なくとも1つの中間エネルギーピークのリアルタイムデータを有して良い。それに加えて、前記基板についての危険性データ及び/又は信頼性データが、前記第1基板についてのEEDf関連データを用いて決定されて良く、かつ、前記危険性データ及び/又は信頼性データは、マクスウエル分布を示す成分の危険性データ及び/又は信頼性データ、高エネルギー成分の危険性データ及び/又は信頼性データ、中間エネルギー成分の危険性データ及び/又は信頼性データ、及び/又は少なくとも1つの中間エネルギーピークの危険性データ及び/又は信頼性データを有して良い。
3735では、前記EEDf関連データが前記第1DC/RFH処理及び第1プロセスレシピについての(EEDf)関連限界範囲内であるか否かを判断するのにクエリが用いられて良い。前記EEDf関連データが前記のDC/RFHプロセスレシピについての(EEDf)1限界範囲内であるときには、処理3700は3740へ分岐して良く、かつ前記第1DC/RFH処理が継続されて良い。前記EEDf関連データが前記のDC/RFHプロセスレシピについての(EEDf)1限界範囲内でないときには、処理3700は3745へ分岐して良く、かつ1つ以上の補正行為が実行されて良い。
ある例では、補正行為は、少なくとも1つの超デバイ捕獲期間検出器及び/又は少なくとも1つのサブデバイ放出期間検出器から新たな補正データを取得する工程、並びに、前記プロセスパラメータのうちの1つを修正して補正前のデータをリアルタイムで補正する工程を有して良い。
別の例では、補正行為は、前記処理を中止する工程、前記処理を中断する工程、前記基板のうちの1枚以上を再評価する工程、前記基板のうちの1枚以上を再測定する工程、前記基板のうちの1枚以上を再検査する工程、前記基板のうちの1枚以上を再加工する工程、前記基板のうちの1枚以上を洗浄する工程、前記基板のうちの1枚以上の処理を延期する工程、若しくは前記基板のうちの1枚以上を剥離する工程、又は上記工程を組み合わせた工程を有して良い。
さらに別の例では、DC/RFH処理及び/又はDC/RFHデータを検証するために1つ以上のセンサ基板が処理されて良い。DC/RFH処理が検証されているとき、1つ以上の検証(センサ)構造がセンサ基板上に生成されて良い。前記センサ基板が用いられるとき、1つ以上のセンサ構造は、前記センサ基板上に位置する多数の過去に検証されたセンサ構造から選ばれて良い。前記検証中、(EEDf)データは前記検証(センサ)構造のうちの少なくとも1つから得られて良い。前記検証(センサ)構造は超デバイ捕獲期間検出器及びサブデバイ放出期間検出器を有して良い。前記の検証された(EEDf)データは前記DC/RFH処理に係る検証されたライブラリデータとして記憶されて良い。前記の検証された(EEDf)データについて信頼性データ及び/若しくは危険性データが決定並びに/又は記憶されて良い。それに加えてライブラリ内の(EEDf)データは適合度(GOF)データ、生成規則データ、測定データ、検査データ、検証データ、マップデータ、信頼性データ、精度データ、プロセスデータ、若しくは均一性データ、又はこれらを組み合わせたデータを有して良い。
図38は本発明の実施例による直流(DC)/高周波(RF)ハイブリッド(DC/RFH)処理システムを用いた基板の別な処理方法に係る典型的なフロー図を示している。
3810では、テスト(データ収集)基板が、前記DC/RFH処理システムによって受け取られる1つ以上の基板から選ばれて良い。ある実施例では、前記テスト(データ収集)基板は、テスト構造(図8の800)、複数の超デバイ捕獲期間検出器(図8の810)、及び複数のサブデバイ放出期間検出器(図8の820)を有して良い。別な実施例では、前記テスト(データ収集)基板は、1つ以上のプロセス積層体(図36の3600Aと3600B)を有して良く、前記テスト(データ収集)基板は該基板の上に1層以上の層を有して良く、かつ、前記層は、ARC材料、BARC材料、TARC材料、有機平坦化層(OPL)材料、有機誘電体層(ODL)材料、単周波数レジスト材料、デュアルトーンレジスト材料、冷凍(可能な)レジスト材料、紫外(UV)レジスト材料、若しくは極紫外(EUV)レジスト材料、又はこれらの混合材料を有して良い。
3815では、前記の選ばれたテスト(データ収集)基板は、前記DC/RFH処理システム(図2A-2Dの200A-200D)の処理チャンバ(図2A-2Dの210)内の基板ホルダ(図2A-2Dの220)上に設けられて良い。それに加えて新たなテスト(データ収集)基板が選ばれたときには、前記新たなテスト(データ収集)基板は、前記DC/RFH処理システム(図2A-2Dの200A-200D)の処理チャンバ(図2A-2Dの210)内の基板ホルダ(図2A-2Dの220)上に設けられて良い。
3820では、前記テスト基板についての電子エネルギー分布関数(EEDf)が決定されて良く、前記EEDfデータは、マクスウエル分布を示す成分、高エネルギー成分、若しくは中間エネルギー成分、又はこれらを組み合わせた成分を有して良く、かつ、中間エネルギー成分は少なくとも1つの中間エネルギーピークを有して良い。それに加えて、新たなテスト(データ収集)構造が選択されたときには、前記新たなテスト構造について新たなEEDfデータが決定されて良く、かつ、前記新たなEEDfデータは、新たなマクスウエル分布を示す成分、新たな高エネルギー成分、若しくは新たな中間エネルギー成分、又はこれらを組み合わせた成分を有して良い。
3825では、前記の選ばれた基板についてのDC/RFH処理及びDC/RFHプロセスレシピが、過去に決定されたEEDfデータを用いることによって決定されて良い。ある実施例では、前記DC/RFH処理及びDC/RFHプロセスレシピは前記中間エネルギーピークのデータを用いることによって選ばれて良い。他の実施例では、前記DC/RFH処理及びDC/RFHプロセスレシピは、前記マクスウエル分布を示すデータ、前記高エネルギーデータ、又は前記中間エネルギーデータを用いることによって選ばれて良い。それに加えて、新たなテスト(データ収集)基板が選ばれたときには、前記新たなテスト(データ収集)基板についての新たなDC/RFH処理及び新たなDC/RFHプロセスレシピは、過去に決定されたEEDfデータ及び/又は新たなEEDfデータを用いて選ばれて良い。
3830では、前記の選ばれた基板が前記のDC/RFH処理によって設定されたDC/RFHプロセスパラメータを用いることによって処理するときに、EEDf関連データを得ることができる。あるDC/RFH処理では、前記処理領域(図2A-2Dの206)内でプロセスガスを用いることによってプラズマが生成されて良い。図2A、図2B、図2C、及び図2Dに図示されているように、前記第1プラズマを生成するため、前記処理領域(図2A-2Dの206)は処理チャンバ(図2A-2Dの210)内に設けられて良く、かつ、RF発生装置(図2A-2Dの230)とDC供給サブシステム(図2A-2Dの240)は前記処理チャンバ(図2A-2Dの210)と結合して良い。第1プロセスガスは前記処理チャンバ(図2A-2Dの210)へ供されて良い。前記第1プロセスガスの流速は、前記第1プラズマを最適化するために監視及び制御されて良い。それに加えて、前記の新たに選ばれた基板が、前記の新たなDC/RFH処理によって設定される新たなDC/RFHプロセスパラメータを用いて処理されているとき、新たなEEDf関連データをリアルタイムで得ることができる。
3835では、前記の選ばれた基板、前記の選ばれたDC/RFH処理、及び前記の選ばれたDC/RFHプロセスレシピについての前記EEDf関連データ収集過程が完了したか否かを判断するのにクエリが実行されて良い。前記EEDf関連データ収集過程が完了したときには、図38に図示されているように、処理3800は3870へ分岐して良く、前記処理3800は終了して良い。前記EEDf関連データ収集過程が完了しなかったときには、図38に図示されているように、処理3800は3840へ分岐して良く、かつ処理3800を継続して良い。それに加えて、図38に図示されているように、前記の新たなEEDf関連データ収集過程が完了したときには、処理3800は3870へ分岐して良く、かつ、前記処理3800は終了して良く、また、前記の新たなEEDf関連データ収集過程が完了しなかったときには、処理3800は3840へ分岐して良く、かつ、処理3800は3840へ分岐し、かつ処理3800を継続して良い。
3840では、前記の(新たに)選ばれた基板について洗浄処理が必要であるか否かを判断するのにクエリが実行されて良い。前記の(新たに)選ばれた基板について洗浄処理が必要なときには、図38に図示されているように、処理3800は3845へ分岐して良く、処理3800を継続して良い。前記の(新たに)選ばれた基板について洗浄処理が必要ないときには、図38に図示されているように、処理3800は3850へ分岐し、かつ処理3800を継続して良い。
3845では、前記の(新たに)選ばれた基板について洗浄処理が実行されて良い。ある実施例では、前記洗浄処理が実行されるとき、前記の(新たに)選ばれた基板は前記処理チャンバ(図2A-2Dの210)内に設けられて良い。他の実施例では、前記洗浄処理が実行されるとき、前記の(新たに)選ばれた基板は前記処理チャンバ(図2A-2Dの210)内に設けられない。
3850では、前記の(新たに)選ばれたテスト基板にとって新たなDC/RFH処理及び/又は新たなDC/RFHプロセスレシピが必要であるか否かを判断するのにクエリが実行されて良い。前記の(新たに)選ばれたテスト基板にとって新たなDC/RFH処理及び/又は新たなDC/RFHプロセスレシピが必要であるときには、図38に図示したように、処理3800は3855へ分岐して良く、かつ処理3800を継続して良い。前記の(新たに)選ばれたテスト基板にとって新たなDC/RFH処理及び/又は新たなDC/RFHプロセスレシピが必要でないときには、図38に図示したように、処理3800は3860へ分岐して良く、かつ処理3800を継続して良い。
3855では、前記の(新たに)選ばれたテスト基板の新たなDC/RFH処理及び/又はDC/RFHプロセスレシピが決定されて良い。
3860では、新たなテスト基板が必要であるか否かを判断するのにクエリが実行されて良い。新たなテスト基板が必要であるときには、図38に図示したように、処理3800は3865へ分岐して良く、かつ処理3800を継続して良い。新たなテスト基板が必要でないときには、処理3800は3870へ分岐して良く、かつ処理3800は終了して良い。
100 処理システム
105 基板
110 リソグラフィサブシステム
111 接続
112 搬送/格納要素
113 処理要素
114 制御装置
115 評価要素
120 露光サブシステム
121 接続
122 搬送/格納要素
123 処理要素
124 制御装置
125 評価要素
130 エッチングサブシステム
131 接続
132 搬送/格納要素
133 処理要素
134 制御装置
135 評価要素
140 堆積サブシステム
141 接続
142 搬送/格納要素
143 処理要素
144 制御装置
145 評価要素
150 検査サブシステム
151 接続
152 搬送/格納要素
153 処理要素
154 制御装置
155 評価要素
160 評価サブシステム
161 接続
162 搬送/格納要素
163 処理要素
164 制御装置
165 評価要素
170 搬送サブシステム
174 搬送要素
175 搬送トラック
176 搬送トラック
177 搬送トラック
180 製造実行システム(MES)
190 システム制御装置
191 データ搬送サブシステム
195 メモリ/データベース
200 直流(DC)及び高周波(RF)ハイブリッド(DC/RFH)処理システム
205 基板
206 処理領域
209 スロットバルブ
210 処理チャンバ
220 基板ホルダ
221 下側電極
222 温度制御サブシステム
223 温度制御素子
225 基板
226 背面ガス供給システム
227 静電クランプ電極
228 静電クランプシステム
229 基盤
230 高周波(RF)発生装置
232 インピーダンス整合ネットワーク
234 測定デバイス
240 DC供給サブシステム
245 DC電極
250 センサ
260 ガス供給サブシステム
261 フィードスルー部品
262 ガス供給部品
265 上側集合体
266 ガス分配部品
267 ガス分配部品
285 圧力制御サブシステム
286 真空排気システム
287 ゲートバルブ
290 制御装置
3600 積層体
3610 基板層
3620 誘電層
3630 マスク層
3650 エッチングされた誘電層
3660 コンタクト
3661 深さ
3662 開口部
3670 誘電体部位
3671 厚さ(高さ)
3672 幅

Claims (19)

  1. 直流(DC)/高周波(RF)ハイブリッド(DC/RFH)処理システムを用いて基板を処理する方法であって:
    当該DC/RFH処理システムと結合する搬送サブシステムによって第1組の基板を受け取る工程;
    第1基板についての少なくとも1つの電子エネルギー分布関数(EEDf)1のデータを決定する工程;
    前記少なくとも1つの(EEDf)1のデータを用いることによって前記第1基板についての第1DC/RFHプロセスレシピを決定する工程;
    前記第1DC/RFHプロセスレシピを用いることによって前記第1基板を処理する工程;
    前記第1基板のプロセスデータを得る工程;
    前記の処理された第1基板のプロセスデータを用いることによって前記第1組の基板についての危険性データを決定する工程;
    前記危険性データを処理限界と比較する工程;
    前記危険性データが前記処理限界を超えないときには、前記第1組の基板中の追加基板を処理する工程;及び、
    前記危険性データが前記処理限界のうちの少なくとも1つでも超えるときには、補正行為を実行する工程;
    を有する方法。
  2. 前記少なくとも1つの(EEDf)1のデータが、マクスウエル分布を示す成分、高エネルギー成分、及び中間エネルギー成分のうちの少なくとも1つを有する、請求項1に記載の方法。
  3. 前記中間エネルギー成分が前記マクスウエル分布を示す成分と前記高エネルギー成分との間に位置し、かつ
    前記中間エネルギー成分は少なくとも1つの中間エネルギーピークを有する、
    請求項2に記載の方法。
  4. 前記第1基板が、上又は内部に半導体材料を有する少なくとも1つの基板層、上又は内部に低誘電率材料を有する少なくとも1つの誘電体層、並びに、上又は内部にフォトレジスト及び/又は反射防止コーティング(ARC)を有する少なくとも1つのマスク層を有する、請求項1に記載の方法。
  5. 前記第1DC/RFHプロセスレシピが、少なくとも1つのマクスウエル分布を示す成分、少なくとも1つの高エネルギー成分、若しくは少なくとも1つの中間エネルギー成分、又は前記成分を組み合わせた成分を用いることによって決定される、請求項1に記載の方法。
  6. 前記第1DC/RFHプロセスレシピが、少なくとも1つの中間エネルギー成分内の少なくとも1つの中間エネルギーピークを用いることによって決定される、請求項5に記載の方法。
  7. 前記の第1基板を処理する工程が:
    当該DC/RFH処理システムの処理チャンバ内部に備えられた基板ホルダ上に前記第1基板を設ける工程;
    前記処理チャンバ内部に備えられた上側集合体と結合するガス供給システムを用いることによって、前記の処理チャンバ内の第1基板の上方に位置する処理領域へ第1プロセスガスを供する工程;
    前記上側集合体内のDC電極にDC電圧を供する工程であって、
    DC供給サブシステムは、DC電極と結合し、かつ前記DC電圧を前記DC電極に供するように備えられ、かつ
    前記DC電圧は-2000.0VDCから0.0VDCの範囲である、
    工程;
    前記処理チャンバ内部の圧力を設定する工程であって、
    圧力制御サブシステムは、前記処理チャンバと結合し、かつ前記処理チャンバ内部の圧力を制御するように備えられ、かつ
    前記第1基板が処理されるときには、前記処理チャンバ内部の圧力は5mTorrから400mTorrまで変化する、
    工程;及び、
    前記基板ホルダ内に備えられた下側電極にRF信号を印加する工程であって、RF発生装置は、前記下側電極と結合し、かつ前記RF信号を前記下側電極に印加することで、前記処理領域内にプラズマを発生させる、工程;
    を有する、請求項1に記載の方法。
  8. 前記第1プロセスガスが少なくとも1種類のフルオロカーボンガス及び少なくとも1種類の不活性ガスを有し、
    第1フルオロカーボンガスの流速は10sccmから50sccmまで変化し、
    第1不活性ガスの流速は3sccmから20sccmまで変化し、
    前記フルオロカーボンガスは、C4F6、C4F8、C5F8、CHF3、若しくはCF4、又は上記の混合ガスを有し、かつ
    前記不活性ガスは、アルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、ネオン(Ne)、ラドン(Rn)、若しくはキセノン(Xe)、又は上記の混合ガスを有する、
    請求項7に記載の方法。
  9. 前記の補正行為を実行する工程は:
    前記第1組の基板中の1つ以上の追加基板についての危険限界を設定する工程;
    前記の追加基板についての危険値を追加の危険限界と比較する工程;
    前記追加の危険限界のうちの1つ以上が満たされている場合に前記DC/RFH処理を継続する工程;及び、
    前記追加の危険限界のうちの1つ以上が満たされていない場合に前記DC/RFH処理を中止する工程;
    をさらに有する、請求項1に記載の方法。
  10. 直流(DC)/高周波(RF)ハイブリッド(DC/RFH)処理システムを用いて基板を処理する方法であって:
    当該DC/RFH処理システムによって受け取られる第1組の基板から第1基板を選ぶ工程;
    前記第1基板についての第1電子エネルギー分布関数(EEDf)1のデータを決定する工程;
    前記第1(EEDf)1のデータを用いることによって前記第1基板についての第1DC/RFH処理及び第1DC/RFHプロセスレシピを決定する工程;
    前記第1DC/RFHプロセスレシピについて設定された第1プロセスパラメータを用いることによって前記第1基板を処理する工程;
    前記の第1基板の処理を実行するため、処理チャンバ内部に備えられたEEDf関連センサを用いることによって前記第1基板のEEDf関連データをリアルタイムで得る工程;
    前記EEDf関連データをEEDf関連限界と比較する工程;
    前記EEDf関連データが前記EEDf関連限界を超えないときには、前記EEDf処理の継続を許可する工程;並びに、
    前記EEDf関連データが前記EEDf関連限界を超えるときには、補正行為を実行する工程;
    を有する方法。
  11. 前記第1(EEDf)1のデータが、マクスウエル分布を示す成分、高エネルギー成分、及び中間エネルギー成分のうちの少なくとも1つを有する、請求項10に記載の方法。
  12. 前記中間エネルギー成分が前記マクスウエル分布を示す成分と前記高エネルギー成分との間に位置し、かつ
    前記中間エネルギー成分は少なくとも1つの中間エネルギーピークを有する、
    請求項11に記載の方法。
  13. 前記第1基板が、上又は内部に半導体材料を有する少なくとも1つの基板層、上又は内部に低誘電率材料を有する少なくとも1つの誘電体層、並びに、上又は内部にフォトレジスト及び/又は反射防止コーティング(ARC)を有する少なくとも1つのマスク層を有する、請求項10に記載の方法。
  14. 前記第1DC/RFHプロセスレシピが、少なくとも1つのマクスウエル分布を示す成分、少なくとも1つの高エネルギー成分、若しくは少なくとも1つの中間エネルギー成分、又は前記成分を組み合わせた成分を用いることによって決定される、請求項10に記載の方法。
  15. 前記第1DC/RFHプロセスレシピが、少なくとも1つの中間エネルギー成分内の少なくとも1つの中間エネルギーピークを用いることによって決定される、請求項14に記載の方法。
  16. 前記の第1基板を処理する工程が:
    当該DC/RFH処理システムの処理チャンバ内部に備えられた基板ホルダ上に前記第1基板を設ける工程;
    前記処理チャンバ内部に備えられた上側集合体と結合するガス供給システムを用いることによって、前記の処理チャンバ内の第1基板の上方に位置する処理領域へ第1プロセスガスを供する工程;
    前記上側集合体内のDC電極にDC電圧を供する工程であって、
    DC供給サブシステムは、DC電極と結合し、かつ前記DC電圧を前記DC電極に供するように備えられ、かつ
    前記DC電圧は-2000.0VDCから0.0VDCの範囲である、
    工程;
    前記処理チャンバ内部の圧力を設定する工程であって、
    圧力制御サブシステムは、前記処理チャンバと結合し、かつ前記処理チャンバ内部の圧力を制御するように備えられ、かつ
    前記第1基板が処理されるときには、前記処理チャンバ内部の圧力は5mTorrから400mTorrまで変化する、
    工程;及び、
    前記基板ホルダ内に備えられた下側電極にRF信号を印加する工程であって、RF発生装置は、前記下側電極と結合し、かつ前記RF信号を前記下側電極に印加することで、前記処理領域内にプラズマを発生させる、工程;
    を有する、請求項10に記載の方法。
  17. 前記第1プロセスガスが少なくとも1種類のフルオロカーボンガス及び少なくとも1種類の不活性ガスを有し、
    第1フルオロカーボンガスの流速は10sccmから50sccmまで変化し、
    第1不活性ガスの流速は3sccmから20sccmまで変化し、
    前記フルオロカーボンガスは、C4F6、C4F8、C5F8、CHF3、若しくはCF4、又は上記の混合ガスを有し、かつ
    前記不活性ガスは、アルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、ネオン(Ne)、ラドン(Rn)、若しくはキセノン(Xe)、又は上記の混合ガスを有する、
    請求項16に記載の方法。
  18. 前記基板ホルダは、背面ガスシステムと結合するデュアル背面ガス素子、及び、前記第1基板の第1端部温度と第1中心温度とを設定するように備えられた温度制御システムを有し、
    前記第1端部温度と前記第1中心温度は-10℃から100℃の間である、
    請求項17に記載の方法。
  19. 前記の補正行為を実行する工程は:
    少なくとも1つの超デバイ捕獲期間検出器及び/又は少なくとも1つのサブデバイ放出期間検出器から新たな補正データを得る工程;並びに、
    前記新たな補正データを用いることによって前記第1プロセスパラメータのうちの1つをリアルタイムで修正する工程;
    をさらに有する、請求項10に記載の方法。
JP2010214224A 2009-09-23 2010-09-24 Dc/rfハイブリッド処理システム Expired - Fee Related JP5577532B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US24514609P 2009-09-23 2009-09-23
US61/245,146 2009-09-23

Publications (2)

Publication Number Publication Date
JP2011071522A true JP2011071522A (ja) 2011-04-07
JP5577532B2 JP5577532B2 (ja) 2014-08-27

Family

ID=43756949

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010214224A Expired - Fee Related JP5577532B2 (ja) 2009-09-23 2010-09-24 Dc/rfハイブリッド処理システム

Country Status (3)

Country Link
US (1) US7993937B2 (ja)
JP (1) JP5577532B2 (ja)
KR (1) KR101633937B1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101354343B1 (ko) 2011-11-24 2014-01-27 서울대학교산학협력단 플라즈마의 전자 에너지 분포 특성 변동 모니터링 방법 및 장치
US9976105B2 (en) 2006-10-10 2018-05-22 Dow Silicones Corporation Silicone polymer emulsions
WO2023042698A1 (ja) * 2021-09-17 2023-03-23 東京エレクトロン株式会社 プラズマ処理装置、制御方法及びプログラム

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8283644B2 (en) * 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US9028765B2 (en) 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
US9697993B2 (en) 2013-11-06 2017-07-04 Tokyo Electron Limited Non-ambipolar plasma ehncanced DC/VHF phasor
US9520270B2 (en) * 2014-07-25 2016-12-13 Tokyo Eelctron Limited Direct current superposition curing for resist reflow temperature enhancement
KR102323073B1 (ko) * 2015-11-12 2021-11-09 세메스 주식회사 기판 온도 조절 장치 및 방법, 그리고 그를 이용하는 기판 처리 장치
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
WO2018146981A1 (ja) * 2017-02-07 2018-08-16 東京エレクトロン株式会社 成膜システム、成膜方法及びコンピュータ記憶媒体
WO2019099102A1 (en) * 2017-11-16 2019-05-23 Tokyo Electron Limited Plasma processing system with synchronized signal modulation
US10879052B2 (en) * 2018-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and manufacturing method using the same
CN111524782B (zh) * 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
CN112786441A (zh) * 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
CN116169018A (zh) 2019-11-08 2023-05-26 东京毅力科创株式会社 蚀刻方法
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
CN110850264B (zh) * 2019-11-27 2021-08-06 北京博达微科技有限公司 一种提高半导体放大器件直流参数测试速度和精度的方法
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US20210217587A1 (en) * 2020-01-10 2021-07-15 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11670488B2 (en) * 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
CN112597922B (zh) * 2020-12-28 2024-03-26 深圳市普汇智联科技有限公司 一种基于无碰撞lstm的多目标轨迹预测方法
US11495470B1 (en) * 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006270018A (ja) * 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4478874A (en) * 1983-12-09 1984-10-23 Cosden Technology, Inc. Methods for improving the gas barrier properties of polymeric containers
US4858556A (en) * 1986-09-15 1989-08-22 Siebert Jerome F Method and apparatus for physical vapor deposition of thin films
US5114556A (en) * 1989-12-27 1992-05-19 Machine Technology, Inc. Deposition apparatus and method for enhancing step coverage and planarization on semiconductor wafers
US5578238A (en) * 1992-10-30 1996-11-26 Lord Corporation Magnetorheological materials utilizing surface-modified particles
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
JPH1197430A (ja) * 1997-07-14 1999-04-09 Applied Materials Inc 高密度プラズマプロセスチャンバ
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6905578B1 (en) * 1998-04-27 2005-06-14 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure
JP2991192B1 (ja) 1998-07-23 1999-12-20 日本電気株式会社 プラズマ処理方法及びプラズマ処理装置
US6228754B1 (en) * 1999-01-05 2001-05-08 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by inert gas sputter etching
US20020092616A1 (en) * 1999-06-23 2002-07-18 Seong I. Kim Apparatus for plasma treatment using capillary electrode discharge plasma shower
US6372156B1 (en) * 1999-08-19 2002-04-16 Bechtel Bwxt Idaho, Llc Methods of chemically converting first materials to second materials utilizing hybrid-plasma systems
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US7250196B1 (en) * 1999-10-26 2007-07-31 Basic Resources, Inc. System and method for plasma plating
US6303490B1 (en) * 2000-02-09 2001-10-16 Macronix International Co., Ltd. Method for barrier layer in copper manufacture
US6503379B1 (en) * 2000-05-22 2003-01-07 Basic Research, Inc. Mobile plating system and method
US6541392B2 (en) * 2000-09-15 2003-04-01 Technology Ventures, L.L.C. Method for fabricating three dimensional anisotropic thin films
US20030159925A1 (en) * 2001-01-29 2003-08-28 Hiroaki Sako Spattering device
US7326669B2 (en) * 2001-09-20 2008-02-05 Honda Motor Co., Ltd. Substrate having catalyst compositions on surfaces of opposite sides
US20030180450A1 (en) * 2002-03-22 2003-09-25 Kidd Jerry D. System and method for preventing breaker failure
JP4175456B2 (ja) 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
US6800494B1 (en) * 2002-05-17 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for controlling copper barrier/seed deposition processes
EP1546431B1 (de) * 2002-09-30 2010-02-10 Incoat GmbH Verbundwerkstoff
JP5404984B2 (ja) 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
US20050126497A1 (en) * 2003-09-30 2005-06-16 Kidd Jerry D. Platform assembly and method
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4713903B2 (ja) * 2004-03-04 2011-06-29 三星モバイルディスプレイ株式會社 誘導結合プラズマ化学気相蒸着装置
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
KR100810629B1 (ko) * 2004-12-08 2008-03-06 삼성에스디아이 주식회사 대향 타겟식 스퍼터링 장치를 이용한 유기 발광 소자의제조방법
US20080121620A1 (en) * 2006-11-24 2008-05-29 Guo G X Processing chamber
US7846310B2 (en) * 2006-12-13 2010-12-07 Applied Materials, Inc. Encapsulated and water cooled electromagnet array
US8920613B2 (en) * 2007-01-31 2014-12-30 Applied Materials, Inc. Offset magnet compensation for non-uniform plasma
US20090086401A1 (en) * 2007-09-28 2009-04-02 Intevac, Inc. Electrostatic chuck apparatus
US8821968B2 (en) * 2007-10-31 2014-09-02 The Board Of Trustees Of The Leland Stanford Junior University Process for making layer-structured catalysts at the electrode/electrolyte interface of a fuel cell
US20100096255A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gap fill improvement methods for phase-change materials

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006270018A (ja) * 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9976105B2 (en) 2006-10-10 2018-05-22 Dow Silicones Corporation Silicone polymer emulsions
KR101354343B1 (ko) 2011-11-24 2014-01-27 서울대학교산학협력단 플라즈마의 전자 에너지 분포 특성 변동 모니터링 방법 및 장치
WO2023042698A1 (ja) * 2021-09-17 2023-03-23 東京エレクトロン株式会社 プラズマ処理装置、制御方法及びプログラム

Also Published As

Publication number Publication date
JP5577532B2 (ja) 2014-08-27
KR101633937B1 (ko) 2016-06-27
US20110070665A1 (en) 2011-03-24
US7993937B2 (en) 2011-08-09
KR20110033097A (ko) 2011-03-30

Similar Documents

Publication Publication Date Title
JP5577532B2 (ja) Dc/rfハイブリッド処理システム
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US8343371B2 (en) Apparatus and method for improving photoresist properties using a quasi-neutral beam
US8501499B2 (en) Adaptive recipe selector
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
JP5968225B2 (ja) 切り換え可能な中性ビーム源
JP4971132B2 (ja) ランtoラン制御の方法とシステム
US8778205B2 (en) Processing method and processing system
US11056322B2 (en) Method and apparatus for determining process rate
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
JP2010041051A (ja) 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法
US9147556B2 (en) Plasma processing method and plasma processing apparatus
JP5199406B2 (ja) 処理方法及び処理システム
Ling et al. Studies of fluorocarbon film deposition and its correlation with etched trench sidewall angle by employing a gap structure using C4F8∕ Ar and CF4∕ H2 based capacitively coupled plasmas
KR20120001773A (ko) 플라즈마 에칭 방법
Iwakoshi et al. Prediction of etching results and etching stabilization by applying principal component regression to emission spectra during in-situ cleaning
Gray Investigation Into the Manipulation of Non-uniformity and Undercut Features of a Positive Profile Through Silicon Via
Hsueh Plasma diagnostics and characterization of dielectric films
Patel Plasma Etching

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130604

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140610

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140617

R150 Certificate of patent or registration of utility model

Ref document number: 5577532

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees