TW201944490A - 用於圖案化應用的碳硬式遮罩及相關的方法 - Google Patents

用於圖案化應用的碳硬式遮罩及相關的方法 Download PDF

Info

Publication number
TW201944490A
TW201944490A TW108112252A TW108112252A TW201944490A TW 201944490 A TW201944490 A TW 201944490A TW 108112252 A TW108112252 A TW 108112252A TW 108112252 A TW108112252 A TW 108112252A TW 201944490 A TW201944490 A TW 201944490A
Authority
TW
Taiwan
Prior art keywords
power
processing
substrate
substrate support
less
Prior art date
Application number
TW108112252A
Other languages
English (en)
Other versions
TWI780320B (zh
Inventor
艾斯華倫納德 凡卡塔蘇巴拉馬尼恩
楊揚
帕拉米特 曼納
卡提克 拉馬斯瓦米
越澤武仁
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201944490A publication Critical patent/TW201944490A/zh
Application granted granted Critical
Publication of TWI780320B publication Critical patent/TWI780320B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Drying Of Semiconductors (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

此處的實施例提供一種使用電漿增強的化學氣相沉積(PECVD)處理沉積非晶碳層之方法,及由此形成的硬式遮罩。在一個實施例中,一種處理基板之方法,包括以下步驟:將基板定位在基板支撐件上,基板支撐件佈置於處理腔室的處理空間中;將包含碳氫化合物氣體及稀釋氣體的處理氣體流至處理空間中;將處理空間維持在小於約100 mTorr的處理壓力下;藉由施加第一功率至處理腔室的一或更多功率電極之一者點燃且維持處理氣體的沉積電漿;將基板支撐件維持在小於約350 °C的處理溫度下;將基板的表面暴露至沉積電漿;及在基板的表面上沉積非晶碳層。

Description

用於圖案化應用的碳硬式遮罩及相關的方法
此處所述的實施例大致關於半導體裝置製造的領域,且更具體而言,關於在電子裝置製造處理中使用的非晶碳層及沉積非晶碳層之方法。
非晶碳形成的碳硬式遮罩在半導體裝置製造中使用作為在基板表面或其材料表面層中形成高深寬比開口(例如,2:1或更大的高度對寬度比率)的蝕刻遮罩。一般而言,關於形成高深寬比開口的處理問題,包括堵塞、孔形狀扭曲、圖案變形、頂部關鍵尺寸放大、線彎曲及輪廓折彎,都是傳統沉積的碳硬式遮罩的非所欲材料特性的結果。舉例而言,具有較低材料密度及較低材料堅固(即,楊氏模數)之一者或結合的碳硬式遮罩已知當與具有較高密度或較高堅固的硬式遮罩材料比較時,會造成高深寬比開口增加的變形。類似地,在硬式遮罩材料及其下方佈置的待蝕刻的基板材料之間的較低蝕刻選擇性,以及具有較高膜應力(壓縮或拉伸)的硬式遮罩材料兩者,已知當與使用對下方基板材料具有較高蝕刻選擇性的硬式遮罩材料及較低膜應力的處理比較時,會造成增加的裂縫圖案變形及線彎曲。再者,隨著關鍵尺寸(CD)縮小及高深寬比開口的高度增加,用以形成高深寬比開口的傳統沉積的碳硬式遮罩的厚度亦增加。不幸地,歸因於低光學K及增加的厚度之一者或兩者的具有較低透明度的硬式遮罩在後續光刻處理中可造成對齊問題。對下方基板材料具有較高蝕刻選擇性的硬式遮罩材料與具有較低蝕刻選擇性的硬式遮罩比較,允許減少的厚度,且因此為所欲的。再者,在硬式遮罩材料及下方基板材料之間具有較低蝕刻選擇性的處理通常依賴相對較厚的硬式遮罩,而非所欲地增加沉積的處理時間及成本,導致降低的基板處理能力及增加的裝置成本。
因此,本領域中需要改良的非晶碳硬式遮罩及形成改良的非晶碳硬式遮罩之改良的方法。
本揭露案的實施例大致說明使用電漿增強的化學氣相沉積(PECVD)處理及其上形成的硬式遮罩,將非晶碳層沉積至基板上之方法,包括在基板上先前形成的層上。
在一個實施例中,一種處理基板之方法,包括以下步驟:將基板定位在基板支撐件上,基板支撐件佈置於處理腔室的處理空間中;將包含碳氫化合物氣體及稀釋氣體的處理氣體流至處理空間中;將處理空間維持在小於約100 mTorr的處理壓力下;藉由施加第一功率至處理腔室的一或更多功率電極之一者點燃且維持處理氣體的沉積電漿;將基板支撐件維持在小於約350 °C的處理溫度下;將基板的表面暴露至沉積電漿;及在基板的表面上沉積非晶碳層。
在另一實施例中,一種處理基板之方法,包括以下步驟:將基板定位在基板支撐件上,基板支撐件佈置於處理腔室的處理空間中;將包含碳氫化合物氣體及稀釋氣體的處理氣體流至處理空間中;將處理空間維持在小於約20 mTorr的處理壓力下;藉由施加第一ac功率至該基板支撐件的一或更多功率電極之一者點燃且維持處理氣體的沉積電漿,其中第一ac功率在基板支撐件的基板接收表面每cm2 介於約0.7 W及約15 W之間;將基板支撐件維持在小於約100 °C的處理溫度下;將基板的表面暴露至沉積電漿;及在基板的表面上沉積非晶碳層。
在另一實施例中,一種碳硬式遮罩,包括非晶碳層,佈置於基板的表面上,其中非晶碳層具有大於約1.8 g/cm3 的密度、大於約50 GPa的楊氏模數、小於約500 MPa的膜應力、且在約633 nm的波長下具有小於約0.15的吸收係數(光學K)。
本揭露案的實施例大致關於使用電漿增強的化學氣相沉積(PECVD)處理,用於在基板上沉積非晶碳層之方法,包括在基板上先前形成的層上。具體而言,此處所述的方法提供用於比在沉積非晶碳層的傳統方法中所使用者而言,較低處理壓力,例如小於約100 mTorr,較低處理溫度,例如小於約350 °C,及較高功率,例如大於約1000 W。在此處的某些實施例中,用以點燃且維持沉積電漿的功率輸送至佈置於或耦合至具有基板佈置於其上的基板支撐件的一或更多功率電極。較低處理壓力、較低處理溫度、較高功率及基板位準電漿(透過與基板支撐件的功率電極電容耦合形成的電漿)之各者或結合,增加沉積期間基板表面處的離子能量,而導致當與傳統沉積方法比較時具有sp3含量(類金剛石碳)對sp2含量(類石墨碳)為所欲的較高比率的非晶碳層。因為得到較高的sp3含量,當與傳統沉積的非晶碳層比較時,此處所述的方法提供具有改良的密度、堅固、透明度、蝕刻選擇性及膜應力的非晶碳層。
第1圖根據一個實施例,為用以執行此處所提及之方法的範例處理腔室的概要剖面視圖。可用以執行此處所述之方法的其他範例處理腔室包括由美國加州聖克拉拉市的應用材料公司可取得的Radion® 、Producer® 及SYM3® 處理腔室,以及來自其他製造商的適合的沉積腔室。
處理腔室100包括腔室蓋組件101、一或更多側壁102及腔室底座104。腔室蓋組件101包括腔室蓋106、佈置於腔室蓋106中且電氣耦合的噴淋頭107、及電氣絕緣環108,佈置於腔室蓋106及一或更多側壁102之間。噴淋頭107、一或更多側壁102及腔室底座104一起界定處理空間105。通過腔室蓋106佈置的氣體入口109流體耦合至氣源110。具有通過其佈置的複數個開口111的噴淋頭107用以從氣源110均勻分配處理氣體至處理空間105中。此處,腔室蓋組件101,且因此為噴淋頭107,電氣耦合至接地。在其他實施例中,腔室蓋組件101,及因此佈置於其中的噴淋頭107,電氣耦合至電源供應器(未顯示),例如連續波(CW)RF電源供應器、脈衝RF電源供應器、DC電源供應器、脈衝DC電源供應器或此等之結合,而輸送一或更多偏壓至此。在其他實施例中,處理腔室100不包括噴淋頭107,且處理氣體通過經由腔室蓋106或一或更多側壁102佈置的一或更多氣體入口而輸送至處理空間105。
此處,處理空間105通過真空出口114流體耦合至真空源,例如流體耦合至一或更多專用真空幫浦,而維持處理空間105在次大氣壓條件下且由此排空處理氣體及其他氣體。佈置於處理空間105中的基板支撐件115佈置在可移動支撐桿116上,可移動支撐桿116密封地延伸通過腔室底座104,例如在腔室底座104下方區域中藉由風箱(未顯示)環繞。此處,處理腔室100配置成促進基板117的傳送通過一或更多側壁102之一者中的開口118進出基板支撐件115,此開口118在基板處理期間以門或閥門(未顯示)密封。
通常,佈置於基板支撐件115上的基板117使用加熱器(例如,電阻加熱元件119)及佈置於基板支撐件115中的一或更多冷卻通道120之一者或兩者而維持在所欲處理溫度下。一或更多冷卻通道120流體耦合至冷卻劑源(未顯示),例如具有相對高電阻的修改的水源或製冷劑源。
在某些實施例中,安裝在基板支撐件115的介電材料中或耦合至此的一或更多功率電極(未顯示),經由匹配電路122耦合至一或更多RF或其他ac頻率電源供應器,例如第一電源供應器121A及第二電源供應器121B。此處,沉積電漿123藉由將在處理空間105中的處理氣體與從第一電源供應器121A輸送至此的一或更多功率電極之一者電容耦合而以ac功率在處理空間105中點燃且維持。在某些實施例中,沉積電漿123藉由從第二電源供應器121B輸送的一或更多功率電極之一者以ac功率電容耦合進一步維持。此處,第一電源供應器121A及第二電源供應器121B之各者輸送具有介於約350 kHz及約100 MHz之間的頻率的ac功率,其中來自第一電源供應器121A的功率的頻率不同於來自第二電源供應器121B的頻率。
第2圖根據一個實施例,為在基板的表面上沉積非晶碳層之方法的流程圖。在動作201處,方法200包括將基板定位在基板支撐件上。此處,基板支撐件佈置於處理腔室的處理空間中,例如在第1圖中所述的處理腔室100。在動作202處,方法200包括將處理氣體流至處理空間中。通常,處理氣體包括碳源氣體,例如碳氫化合物氣體,舉例而言CH4 、C2 H2 、C3 H8 、C4 H10 、C2 H4 、C3 H6 、C4 H8 及C5 H10 ,或此等之結合,及稀釋氣體,舉例而言鈍氣,例如Ar、He、Ne、Kr或Xe,或此等之結合。在某些實施例中,稀釋氣體包含鈍氣、N2 、H2 ,或此等之結合。在某些實施例中,碳氫化合物氣體對稀釋氣體的流率(以下稱比率)之比率介於約1:10及約10:1之間,例如介於約1:5及約5:1之間。舉例而言,在一個實施例中,C2 H2 對He的比率介於約1:3及約3:1之間。在某些實施例中,稀釋氣體包含H2 ,且H2 及碳源氣體之間的比率介於約0.5:1及約1:10之間,例如介於約1:1及約1:5之間。在動作203處,方法200包括將處理空間維持在介於約0.1 mTorr及約100 mTorr之間的處理壓力下,例如介於約0.1 mTorr及約50 mTorr之間、介於約0.1 mTorr及約30 mTorr之間、介於約0.1 mTorr及約20 mTorr之間、介於約0.1 mTorr及約15 mTorr之間,舉例而言介於約0.1 mTorr及約10 mTorr之間,或小於約100 mTorr、小於約50 mTorr、小於約20 mTorr、小於約15 mTorr,舉例而言約小於約10 mTorr。
在動作203處,方法200包括藉由施加第一功率至處理腔室的一或更多功率電極之一者來點燃且維持沉積電漿。此處,一或更多功率電極為一或更多頂部電極(例如,處理腔室的腔室蓋或佈置於腔室蓋中的噴淋頭)、一或更多側電極(例如,處理腔室的一或更多側壁)之一者,或為基板支撐件的部分(例如,安裝在或耦合至基板支撐件的介電材料的一或更多電極)。通常,對於尺寸設計成處理300 mm直徑的基板之處理腔室,第一功率為介於約500 W及約8 kW之間,例如介於約1000 W及約5 kW之間。適當的規模可用於經尺寸設計成處理不同尺寸的基板的處理腔室。
在某些實施例中,一或更多功率電極為安裝在或耦合至基板支撐件的介電材料的一者或結合。在某些實施例中,第一功率為RF或其他ac頻率功率,在基板支撐件的基板接收表面每cm2 介於約0.7 W及約11.3 W之間,此處稱W/cm2 ,例如介於約1.4 W/cm2 及約7.1 W/cm2 之間,或對於具有經尺寸設計成支撐300 mm直徑的基板的基板支撐表面的基板支撐件,介於約500 W及約5 kW之間,例如介於約1000 W及約5 kW之間。
在某些實施例中,方法200進一步包括施加第二功率至一或更多功率電極之一者,其中第二功率為RF或其他ac頻率功率,介於約0.14 W/cm2 及約7.1 W/cm2 之間,例如介於約0.14 W/cm2 及約3.5 W/cm2 之間,或對於具有經尺寸設計成支撐300 mm直徑的基板的基板支撐表面的基板支撐件,介於約100 W及約5 kW之間,例如介於約100 W及約2.5 kW之間。此處,第二功率的頻率不同於第一功率的頻率。通常,第一功率及第二功率之一者或兩者的頻率為介於約350 kHz及約100 MHz之間,例如約350 KHz、約2 MHz、約13.56 MHz、約27 MHz、約40 MHz、約60 MHz及約100 MHz。在某些實施例中,第一功率及第二功率施加至彼此電氣絕緣的不同功率電極,舉例而言安裝在基板支撐件的介電材料中且藉由介電材料彼此絕緣的雙功率電極。在某些實施例中,第一功率及第二功率使用傳統阻抗匹配電路施加至相同的功率電極。
在動作204處,方法200包括將基板支撐件且因此佈置於其上的基板維持在介於約-50 °C及約350 °C之間的溫度下,例如介於約-50 °C及約150 °C之間、介於約-50 °C及約100 °C之間或介於約-50 °C及約50 °C之間,舉例而言介於約-25 °C及約25 °C之間,或小於約350 °C的溫度,諸如小於約200 °C、小於約150 °C,或小於100 °C,舉例而言小於約50 °C。
在動作205及206處,方法200分別包括將基板的表面暴露至沉積電漿,且在基板的表面上沉積非晶碳層。
第3圖根據一個實施例,圖示根據第2圖中提及之方法所沉積的碳硬式遮罩。在第3圖中,碳硬式遮罩303,此處為圖案化碳硬式遮罩,包括非晶碳層302,具有在其中形成的複數個開口304,而佈置在基板300的待圖案化表面上。通常,基板300或其一或更多材料層以結晶矽、氧化矽、氮氧化矽、氮化矽、應變矽、矽鍺、鎢、氮化鈦、摻雜或未摻雜的多晶矽、碳摻雜的氧化矽、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石及低k介電材料之一者或結合而形成。
此處,非晶碳層具有介於約1 kÅ及約40 kÅ之間的厚度,例如介於約10 kÅ及約40 kÅ之間,舉例而言介於約10 kÅ及約30 kÅ之間,大於約1.8 g/cm3 的密度,大於約50 GPa的楊氏模數,及在約633 nm的波長下為小於約0.15的吸收係數(光學K)。在某些實施例中,非晶碳層具有小於約500 MPa的拉伸或壓縮膜應力。在某些實施例中,非晶碳層具有小於約500 MPa的拉伸膜應力。在某些實施例中,開口304之各者具有大於約2:1的深寬比(高度對寬度),例如大於約3:1、大於約4:1、大於約5:1、大於約6:1、大於約7:1、大於約8:1、大於約9:1,舉例而言大於約10:1。
此處所述的方法提供非晶碳層,及由此形成的碳硬式遮罩,當與傳統沉積的非晶碳層比較時具有改良的密度、堅固、透明度、蝕刻選擇性及應力。再者,此處所述的方法意圖與目前的碳硬式遮罩處理整合方案相容,意味著將方法引入現有裝置製造線將無須在相關的上游或下游處理方法或裝備中作實質改變。
儘管以上導向本揭露案的實施例,可衍生本揭露案的其他及進一步實施例而不會悖離其基本範疇,且其範疇藉由以下申請專利範圍來決定。
100‧‧‧處理腔室
101‧‧‧腔室蓋組件
102‧‧‧側壁
104‧‧‧腔室底座
105‧‧‧處理空間
106‧‧‧腔室蓋
107‧‧‧噴淋頭
108‧‧‧電氣絕緣環
109‧‧‧氣體入口
110‧‧‧氣源
111‧‧‧開口
114‧‧‧真空出口
115‧‧‧基板支撐件
116‧‧‧可移動支撐桿
117‧‧‧基板
118‧‧‧開口
119‧‧‧電阻加熱元件
120‧‧‧冷卻通道
121A‧‧‧第一電源供應器
121B‧‧‧第二電源供應器
122‧‧‧匹配電路
123‧‧‧沉積電漿
200‧‧‧方法
201-206‧‧‧動作
300‧‧‧基板
302‧‧‧非晶碳層
303‧‧‧碳硬式遮罩
304‧‧‧開口
藉由以上所載本揭露案的特徵之方式可詳細理解,而以上簡要概述的本揭露案的更具體說明可藉由參考實施例而獲得,某些實施例圖示於隨附圖式中。然而,應理解,隨附圖式僅圖示本揭露案的通常實施例,且因此不應考量為對範疇之限制,因為本揭露案認可其他均等效果的實施例。
第1圖根據一個實施例,為用以執行此處所提及之方法的範例處理腔室的概要剖面視圖。
第2圖根據一個實施例,為沉積非晶碳層之方法的流程圖。
第3圖根據一個實施例,圖示根據第2圖中提及的方法沉積的非晶碳層所形成的碳硬式遮罩。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記)
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記)

Claims (20)

  1. 一種處理一基板之方法,包含以下步驟: 將一基板定位在一基板支撐件上,該基板支撐件佈置於一處理腔室的一處理空間中;將包含一碳氫化合物氣體及一稀釋氣體的一處理氣體流至該處理空間中;將該處理空間維持在小於約100 mTorr的一處理壓力下;藉由施加一第一功率至該處理腔室的一或更多功率電極之一者點燃且維持該處理氣體的一沉積電漿;將該基板支撐件維持在小於約350 °C的一處理溫度下;將該基板的一表面暴露至該沉積電漿;及在該基板的該表面上沉積一非晶碳層。
  2. 如請求項1所述之方法,其中沉積的該非晶碳層具有大於約1.8 g/cm3 的一密度。
  3. 如請求項1所述之方法,其中沉積的該非晶碳層具有大於約50 GPa的一楊氏模數。
  4. 如請求項1所述之方法,其中沉積的該非晶碳層具有小於約500 MPa的一膜應力。
  5. 如請求項1所述之方法,其中沉積的該非晶碳層在約633 nm的一波長下具有小於約0.15的一吸收係數(光學K)。
  6. 如請求項1所述之方法,其中沉積的該非晶碳層具有大於約1.8 g/cm3 的一密度、大於約50 GPa的一楊氏模數、小於約500 MPa的一膜應力、且在約633 nm的一波長下具有小於約0.15的一吸收係數(光學K)。
  7. 如請求項1所述之方法,其中該碳氫化合物氣體包含CH4 、C2 H2 、C3 H8 、C4 H10 、C2 H4 、C3 H6 、C4 H8 、C5 H10 之一者或此等之結合。
  8. 如請求項7所述之方法,其中碳氫化合物氣體對稀釋氣體的一比率介於約1:10及約10:1之間。
  9. 如請求項7所述之方法,其中該處理溫度小於約100 °C。
  10. 如請求項9所述之方法,其中該處理壓力小於約20 mTorr。
  11. 如請求項10所述之方法,其中該稀釋氣體包含H2 ,且其中該處理氣體中該H2 對碳氫化合物氣體的一比率介於約0.5:1及約1:10之間。
  12. 如請求項10所述之方法,其中該一或更多功率電極之各者為該基板支撐件的部分。
  13. 如請求項12所述之方法,其中該第一功率為一ac功率,在該基板支撐件的一基板接收表面每cm2 介於約0.7 W及約11.3 W之間,其中該第一功率具有介於約350 kHz及約100 MHz之間的一頻率。
  14. 如請求項13所述之方法,進一步包含以下步驟:施加一第二功率至該一或更多功率電極之一者,其中該第二功率為一ac功率,在該基板支撐件的該基板接收表面每cm2 介於約0.14 W及約11.3 W之間,其中該第二功率具有介於約350 kHz及約100 MHz之間的一頻率,且其中該第一功率的該頻率不同於該第二功率的該頻率。
  15. 一種處理一基板之方法,包含以下步驟: 將一基板定位在一基板支撐件上,該基板支撐件佈置於一處理腔室的一處理空間中;將包含一碳氫化合物氣體及一稀釋氣體的一處理氣體流至該處理空間中;將該處理空間維持在小於約20 mTorr的一處理壓力下;藉由施加一第一ac功率至該基板支撐件的一或更多功率電極之一者點燃且維持該處理氣體的一沉積電漿,其中該第一ac功率在該基板支撐件的一基板接收表面每cm2 介於約0.7 W及約15 W之間;將該基板支撐件維持在小於約100 °C的一處理溫度下;將該基板的一表面暴露至該沉積電漿;及在該基板的該表面上沉積一非晶碳層。
  16. 如請求項15所述之方法,其中該碳氫化合物氣體包含CH4 、C2 H2 、C3 H8 、C4 H10 、C2 H4 、C3 H6 、C4 H8 、C5 H10 之一者或此等之結合。
  17. 如請求項16所述之方法,其中該稀釋氣體包含H2 ,且其中該處理氣體中該H2 對碳氫化合物氣體的一比率介於約0.5:1及約1:10之間。
  18. 如請求項15所述之方法,進一步包含以下步驟:施加一第二ac功率至該基板支撐件的該一或更多功率電極之一者,其中該第二ac功率在該基板支撐件的該基板接收表面每cm2 介於約0.14 W及約7.1 W之間,其中該第一ac功率及該第二ac功率具有介於約350 kHz及約100 MHz之間的一頻率,且其中該第一ac功率的該頻率不同於該第二ac功率的該頻率。
  19. 一種碳硬式遮罩,包含: 一非晶碳層,佈置於一基板的一表面上,其中該非晶碳層具有大於約1.8 g/cm3 的一密度、大於約50 GPa的一楊氏模數、小於約500 MPa的一膜應力、且在約633 nm的一波長下具有小於約0.15的一吸收係數(光學K)。
  20. 如請求項19所述之碳硬式遮罩,其中該非晶碳層具有穿過其形成的複數個開口,且其中該複數個開口之各者具有大於約2:1的一高度對寬度比率。
TW108112252A 2018-04-09 2019-04-09 用於圖案化應用的碳硬式遮罩及相關的方法 TWI780320B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862655049P 2018-04-09 2018-04-09
US62/655,049 2018-04-09

Publications (2)

Publication Number Publication Date
TW201944490A true TW201944490A (zh) 2019-11-16
TWI780320B TWI780320B (zh) 2022-10-11

Family

ID=68162992

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111124877A TW202318505A (zh) 2018-04-09 2019-04-09 用於圖案化應用的碳硬式遮罩及相關的方法
TW108112252A TWI780320B (zh) 2018-04-09 2019-04-09 用於圖案化應用的碳硬式遮罩及相關的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111124877A TW202318505A (zh) 2018-04-09 2019-04-09 用於圖案化應用的碳硬式遮罩及相關的方法

Country Status (6)

Country Link
US (2) US11469097B2 (zh)
JP (1) JP7407121B2 (zh)
KR (1) KR20200130490A (zh)
SG (1) SG11202009406RA (zh)
TW (2) TW202318505A (zh)
WO (1) WO2019199681A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200130490A (ko) 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US11603591B2 (en) * 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US11842897B2 (en) 2018-10-26 2023-12-12 Applied Materials, Inc. High density carbon films for patterning applications
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US20230022359A1 (en) * 2021-07-22 2023-01-26 Applied Materials, Inc. Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0221531A3 (en) 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
JPH05508266A (ja) 1991-04-03 1993-11-18 イーストマン・コダック・カンパニー GaAsをドライエッチングするための高耐久性マスク
US5352493A (en) 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
JPH07268622A (ja) 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
TW422892B (en) 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
US6013980A (en) 1997-05-09 2000-01-11 Advanced Refractory Technologies, Inc. Electrically tunable low secondary electron emission diamond-like coatings and process for depositing coatings
JP2868120B2 (ja) 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
US6372303B1 (en) 1997-06-16 2002-04-16 Robert Bosch Gmbh Method and device for vacuum-coating a substrate
MY132894A (en) * 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US6320295B1 (en) 1998-11-18 2001-11-20 Mcgill Robert Andrew Diamond or diamond like carbon coated chemical sensors and a method of making same
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
DE60031544T2 (de) 1999-05-19 2007-08-02 Mitsubishi Shoji Plastics Corp. Dlc-film, dlc-beschichteter plastikbehälter und verfahren und vorrichtung zur herstellung solcher behälter
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
US6900002B1 (en) 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
EP1598441B1 (en) 2003-02-26 2018-09-26 Sumitomo Electric Industries, Ltd. Amorphous carbon film and process for producing the same
KR100988085B1 (ko) 2003-06-24 2010-10-18 삼성전자주식회사 고밀도 플라즈마 처리 장치
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7556718B2 (en) 2004-06-22 2009-07-07 Tokyo Electron Limited Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
WO2006052370A2 (en) 2004-11-03 2006-05-18 Applied Materials, Inc. Diamond like carbon films
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
EP1954429B1 (en) 2005-12-02 2015-05-27 United Technologies Corporation Metal-free diamond-like-carbon coatings
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
KR100812504B1 (ko) 2006-09-05 2008-03-11 성균관대학교산학협력단 전도성 고경도 탄소박막의 제조 방법 및 박막 전계 발광소자용 전극으로의 응용
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7959735B2 (en) 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
KR100941070B1 (ko) 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
US20090029067A1 (en) 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US8101444B2 (en) 2007-08-17 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2009167512A (ja) 2008-01-21 2009-07-30 Kobe Steel Ltd 摺動部品用ダイヤモンドライクカーボン皮膜およびその製造方法
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP5122386B2 (ja) 2008-07-09 2013-01-16 株式会社ダン・タクマ 半導体用ケース
JP4704453B2 (ja) 2008-07-16 2011-06-15 株式会社プラズマイオンアシスト ダイヤモンドライクカーボン製造装置、製造方法及び工業製品
WO2010045153A2 (en) * 2008-10-14 2010-04-22 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
JP4755262B2 (ja) 2009-01-28 2011-08-24 株式会社神戸製鋼所 ダイヤモンドライクカーボン膜の製造方法
US8900471B2 (en) 2009-02-27 2014-12-02 Applied Materials, Inc. In situ plasma clean for removal of residue from pedestal surface without breaking vacuum
US7842622B1 (en) 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
CN103597119B (zh) 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 用于等离子体处理的装置和方法
KR20120092184A (ko) 2009-12-07 2012-08-20 어플라이드 머티어리얼스, 인코포레이티드 도핑된 영역을 세정하고 도핑된 영역 위에 음으로 대전된 패시베이션 층을 형성하는 방법
KR20110115291A (ko) 2010-04-15 2011-10-21 경북대학교 산학협력단 Dlc 코팅장치
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20120237693A1 (en) 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
US20120276743A1 (en) 2011-04-26 2012-11-01 Jai-Hyung Won Methods of forming a carbon type hard mask layer using induced coupled plasma and methods of forming patterns using the same
KR20120121340A (ko) 2011-04-26 2012-11-05 삼성전자주식회사 유도결합 플라즈마를 이용한 탄소계 하드 마스크막 제조 방법 및 이를 이용한 패턴 형성 방법
JP2012233529A (ja) 2011-04-28 2012-11-29 F C C:Kk 動力伝達装置
JP2013021382A (ja) 2011-07-07 2013-01-31 Toshiba Corp 同軸ケーブル
US20130034666A1 (en) 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
JP5935116B2 (ja) 2011-12-16 2016-06-15 東京エレクトロン株式会社 プラズマ処理装置
SG10201605000PA (en) 2011-12-23 2016-08-30 Applied Materials Inc Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
US8679987B2 (en) 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
JP2012233259A (ja) 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
CN103594495A (zh) 2012-08-16 2014-02-19 中国科学院微电子研究所 半导体器件及其制造方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US20140273461A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
WO2014149175A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US20140355912A1 (en) 2013-05-29 2014-12-04 Garett F. Fortune Odor resistant bag and film
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
JP2017507477A (ja) 2014-01-08 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アモルファスカーボンフィルムの中へのイオン注入による高エッチング選択性ハードマスク材料の開発
US20150200094A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Carbon film stress relaxation
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US20160042961A1 (en) 2014-08-06 2016-02-11 Applied Materials, Inc. Electron beam plasma source with rotating cathode, backside helium cooling and liquid cooled pedestal for uniform plasma generation
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US9390910B2 (en) 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US20170040140A1 (en) 2015-08-06 2017-02-09 Seagate Technology Llc Magnet array for plasma-enhanced chemical vapor deposition
US10879041B2 (en) 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9695593B2 (en) 2015-11-10 2017-07-04 Detec Systems Llc Leak detection in roof membranes
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
CN107768300B (zh) 2016-08-16 2021-09-17 北京北方华创微电子装备有限公司 卡盘、反应腔室及半导体加工设备
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US11043372B2 (en) 2017-06-08 2021-06-22 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
KR20200130490A (ko) 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US11603591B2 (en) 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US11587764B2 (en) 2018-11-05 2023-02-21 Applied Materials, Inc. Magnetic housing systems

Also Published As

Publication number Publication date
JP7407121B2 (ja) 2023-12-28
JP2021520639A (ja) 2021-08-19
TWI780320B (zh) 2022-10-11
US11469097B2 (en) 2022-10-11
US20210043449A1 (en) 2021-02-11
US11784042B2 (en) 2023-10-10
WO2019199681A1 (en) 2019-10-17
US20230021761A1 (en) 2023-01-26
CN111954921A (zh) 2020-11-17
SG11202009406RA (en) 2020-10-29
KR20200130490A (ko) 2020-11-18
TW202318505A (zh) 2023-05-01

Similar Documents

Publication Publication Date Title
TWI780320B (zh) 用於圖案化應用的碳硬式遮罩及相關的方法
US11603591B2 (en) Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
TWI631616B (zh) 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法
US6024044A (en) Dual frequency excitation of plasma for film deposition
TW201438062A (zh) 使用雙射頻偏壓頻率施加方式的非晶碳沉積方法
US20050048789A1 (en) Method for plasma etching a dielectric layer
CN107293494A (zh) 用PECVD SiO2钝化保护制造铟镓锌氧化物和氧化锌薄膜晶体管的方法
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
TW202229606A (zh) 在處理腔室中使用雙頻率rf功率之方法
CN111954921B (zh) 用于图案化应用的碳硬掩模及相关的方法
TW202122634A (zh) 用於蝕刻硬體之基於氫電漿清洗處理
US11810792B2 (en) Etching method and substrate processing apparatus
US11328934B2 (en) Etching method and substrate processing apparatus
KR20200121238A (ko) 기판 처리 방법 및 기판 처리 장치
TW202027225A (zh) 清潔膜堆疊中之氧化物層以消除下游處理期間之電弧放電之方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent