JP2006013503A - ドープ窒化膜、ドープ酸化膜、およびその他のドープ膜 - Google Patents

ドープ窒化膜、ドープ酸化膜、およびその他のドープ膜 Download PDF

Info

Publication number
JP2006013503A
JP2006013503A JP2005182180A JP2005182180A JP2006013503A JP 2006013503 A JP2006013503 A JP 2006013503A JP 2005182180 A JP2005182180 A JP 2005182180A JP 2005182180 A JP2005182180 A JP 2005182180A JP 2006013503 A JP2006013503 A JP 2006013503A
Authority
JP
Japan
Prior art keywords
film
doped
precursor
silicon
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005182180A
Other languages
English (en)
Other versions
JP2006013503A5 (ja
JP5078240B2 (ja
Inventor
Ashima B Chakravarti
アシマ・ビー・チャクラヴァティー
Holt Judson
ジャドソン・ホルト
Kevin K Chan
ケヴィン・ケイ・チャン
Sadanand V Deshpande
サダナンド・ヴィー・デーシュパンデー
Rangarajan Jagannathan
ランガラジャン・ジャガナタン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2006013503A publication Critical patent/JP2006013503A/ja
Publication of JP2006013503A5 publication Critical patent/JP2006013503A5/ja
Application granted granted Critical
Publication of JP5078240B2 publication Critical patent/JP5078240B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】一般に、半導体デバイスの製造に使用される被膜、特に窒化被膜および酸化被膜を提供すること。
【解決手段】窒化シリコン、酸化シリコン、酸窒化シリコン、または炭化シリコン被膜の形成中、少なくとも1つの非シリコン前駆体(ゲルマニウム前駆体や炭素前駆体など)を添加することによって、堆積速度が改善され、または被膜の応力を調整するなどこの被膜の特性を調整することが可能になり、あるいはその両方が可能になる。また、ドープ型酸化シリコンまたはドープ型窒化シリコンまたはその他のドープ型構造では、ドーパントが存在することを利用して、このドーパントに関連する信号(目印)をエッチング・ストップとして測定することができ、またはその他の場合にはエッチング中の制御を実現することができる。
【選択図】図4

Description

本発明は、一般に、半導体デバイスの製造に使用される膜に関し、特に窒化膜および酸化膜に関する。
相補型金属酸化膜半導体(CMOS)デバイスでの駆動電流を高めるため、スペーサとしてまたは配線間(middle-of-the-line:MOL)ライナ(金属前誘電体(PMD)ライナとも呼ばれる)として、応力膜を使用してきた。高張力または高圧縮性の窒化物膜をもたらす堆積方法が周知である(例えば、急熱化学的気相堆積法(RTCVD)、プラズマ増強化学的気相堆積法(PECVD)、高密度プラズマ(HDP)であって、シラン(SiH)やジクロロシラン(DCS)、ジシラン、ヘキサクロロジシラン、ビス−3級ブチルアミノシラン(BTBAS)、アンモニア(NH)などのシリコン(Si)前駆体を使用するもの)。しかし所与の堆積方法では、狭い範囲内で応力を調節することしかできなかった。膜の品質を損なうことなく大幅に応力を調節することは、非常に困難であった。
また、窒化シリコン(NiSi)プロセスを導入することによって駆動されるMOLに関し、低温で堆積した窒化物/酸化物膜に対する必要性が高まりつつある。多くの低温前駆体について調査がなされているが、理想的なものはまだ判明していない。
典型的な場合、LPCVD炉では、DCSおよびNHを使用して、700℃以上の温度で窒化シリコン膜を堆積する。
米国特許第6429098号 米国特許第6117750号 米国特許第6258664号
したがって本発明の一目的は、従来のプロセスに比べて速い堆積速度を提供することであり、したがってより製造し易い膜、特に窒化シリコン膜、酸化シリコン膜、酸窒化シリコン膜、および炭化シリコン膜を提供することである。
本発明の別の目的は、さまざまな応力レベルの良質の窒化膜を形成する能力を提供することであり、したがって「プラグイン」ソリューションとして、すなわち一体的な変化を必要とすることなく、デバイス性能を高めることができる。
本発明の別の目的は、窒化シリコン膜、酸化シリコン膜、酸窒化シリコン膜、または炭化シリコン膜を堆積するための温度を下げることである。
本発明の別の目的は、形成した膜の応力を制御するため、窒化シリコン膜、酸化シリコン膜、酸窒化シリコン膜、または炭化シリコン膜の形成中にゲルマニウムの添加を操作することである。
本発明は、好ましい一実施形態では、少なくとも1つのSi前駆体(precursor)を堆積し、少なくとも1つのGe前駆体または少なくとも1つのC前駆体あるいはその両方を添加して、調整可能な応力を有するGeまたはCあるいはその両方をドープした窒化シリコンまたは酸化シリコン膜を形成するプロセスである。
したがって、形成される窒化シリコンまたは酸化シリコン膜の少なくとも1つの化学的または物理的な特性(応力特性など)は、これら膜の堆積中、少なくとも1つの前駆体の変形によって、調整することができる。
有利なことに、本発明による窒化シリコン、酸化シリコン、酸窒化シリコン、または炭化シリコン膜を堆積する際、従来よりも低い温度で堆積を得ることができる。
本発明は、好ましい一実施形態において、ドープ窒化膜、ドープ酸化膜、ドープ酸窒化膜、またはドープ炭化膜を生成する方法を提供し、少なくともこの方法は、少なくとも1つのシリコン前駆体(例えばSiH、DCS、BTBAS、HCD、ジシラン、トリシランなど)を準備するステップと、窒素前駆体(シリコン前駆体と同じでも異なってもよい)または酸素前駆体の少なくとも一方を提供するステップと、少なくとも1つの非シリコン前駆体(シリコン前駆体、窒素前駆体、または酸素前駆体、あるいはこれらの組合せと同じでも異なってもよい)をさらに準備するステップとを含み、ドープ窒化シリコン膜、ドープ酸化シリコン膜、ドープ酸窒化シリコン膜、またはドープ炭化シリコン膜を形成する(これら膜がドープ酸化物である場合、非シリコン前駆体はホウ素でもなくリンでもないことを条件とする)。
本発明の方法で使用される非シリコン前駆体の例は、例えば、ゲルマニウム(Ge)前駆体(例えば有機ゲルマニウム化合物や、GeH、GeHCHなど)、炭素前駆体(例えばCなど)、ジボラン、アルミニウム(Al)前駆体(例えばトリメチルアルミニウム(TMA)、AlH、アルミニウムイソプロポキシドなど)、ホウ素(B)前駆体、ヒ素前駆体、ハフニウム前駆体、ガリウム前駆体(トリメチルGa、トリアルキルアミノGa、GaHなど)、インジウム前駆体(トリメチルIn、トリアルキルアミノIn、InHなど)である。さらに、ゲルマニウム、炭素、ホウ素、アルミニウム、ヒ素、ハフニウム、ガリウム、インジウムなどのアルキル水素化物またはアルキルアミノ水素化物を、前駆体として使用することができる。本発明の方法の特に好ましい実施例では、少なくとも1つのシリコン前駆体を準備するステップと少なくとも1つの非シリコン前駆体を準備するステップとが同時に行われ、ガス流の形で準備される。
本発明の方法は、様々なドープ膜、例えば、1)ゲルマニウムまたは炭素あるいはその両方をドープした窒化シリコンまたは酸化シリコンまたは酸窒化シリコンまたは炭化シリコンなど、2)調整可能な応力を持つ窒化シリコン、酸化シリコン、酸窒化シリコン、または炭化シリコン膜、3)均一に分布したドーパント濃度を有するドープ窒化シリコン膜(例えば均一に分布したGe濃度を有するGeドープ窒化シリコン膜など)などを形成するのに使用することができる。本発明による方法の一例は、例えば、シランとアンモニアの混合物にゲルマン(ゲルマニウム前駆体)を添加し、GeドープSi窒化膜を形成することである。
本発明の方法の別の好ましい実施形態では、前駆体の変形(例えば少なくとも2つの前駆体の混合物など)を用いて、形成された膜の少なくとも1つの化学的なまたは物理的な特性(例えば、生成された膜の応力と、ウェット・エッチング速度と、ドライ・エッチング速度と、エッチング終点と、堆積速度と、物理的、電気的、または光学的あるいはこれらを組み合わせた特性など)を調整することができる。
本発明の方法は、任意選択でさらに、非シリコン前駆体からの非シリコン・ドーパントの信号を測定するステップであって、前記信号はエッチングを制御するために測定するものであるステップを含むことができる。
本発明の方法のある実施形態では、堆積は、例えば約700℃よりも低い堆積温度(室温程度に低い堆積温度も含むがこれに限定されない)など、非シリコン前駆体を除外した場合よりも低い温度でよいことが有利である。本発明の方法を使用することができる堆積の好ましい例は、例えば、RTCVD、PECVD、LPCVD、遠隔プラズマ窒化物、原子層堆積(ALD)などである。
本発明は、その他の好ましい実施形態において、例えば1)調整可能な応力が約3GPa(圧縮)から3GPa(引張り)の範囲にある窒化シリコンや酸化シリコン、酸窒化シリコン、または炭化シリコン膜など(例えばゲルマニウム・ドープ膜など)、2)窒化シリコン膜であって、均一に分布したGeを有するGeドープ窒化シリコン膜であるもの、3)アルミニム・ドープ酸化シリコン膜;ゲルマニウム・ドープ窒化シリコン膜など、4)Geドープ膜であって、Geをドーピングしないことの他は同じプロセスによって作製された膜よりも少なくとも約1.0GPa大きい(好ましくは1.2GPa大きい)応力を有するものなど、特定の膜を提供する。
本発明の膜は、多数のドーパントなど、1つまたは複数のドーパントを含むことができる。本発明の膜に使用されるドーパントの例には、例えば、ゲルマニウム(Ge)、炭素(C)、ホウ素(B)、アルミニウム(Al)、ガリウム(Ga)、インジウム(In)などが含まれ、これらのドーパントは単独でまたは組み合わせて使用することができる。
前述およびその他の目的、態様、および利点は、図面を参照しつつ本発明の好ましい実施形態に関する以下の詳細な記述から、より良く理解されよう。
本発明では、ドープ窒化膜、ドープ酸化膜、ドープ酸窒化膜、またはドープ炭化膜の形成中、以下の事項、すなわち堆積速度と、形成された膜の化学的または物理的あるいはその両方の特性(例えば調整可能な応力など)との少なくとも1つを操作する。この操作は、通常なら窒化膜、酸化膜、酸窒化膜、または炭化膜を形成するのに従来の試薬ではない追加の非シリコン前駆体を導入することによって実現され、その追加の非シリコン前駆体の例にはゲルマニウム前駆体および炭素前駆体がある。
本発明は、窒化シリコン膜の堆積や酸化シリコン膜の堆積、酸窒化シリコン膜の堆積、炭化シリコン膜の堆積などの堆積プロセス中、非シリコン前駆体ドーパント(例えばGe前駆体など)を含むことによって、そのような利点を実現する。
例えば一実施形態では、本発明は、窒化膜および酸化膜および酸窒化膜および炭化膜、特にドープした窒化膜または酸化膜の堆積に、ゲルマニウムを加えることによって、窒化膜、酸化膜、酸窒化膜、または炭化膜、あるいはこれらの組合せを低温で堆積することが可能になる。本発明者等は、シリコンゲルマニウム(SiGe)エピタキシをシリコン・エピタキシよりも低温で行うことができる点を活用し、さらに、シリコン前駆体にゲルマニウム(Ge)前駆体を添加することによって、膜の堆積温度が低下することを発見した。
本発明で使用されるゲルマニウム前駆体は、例えば、ベンサヘル(Bensahel)他(フランス・テレコム(FranceTelecom))に2002年8月6日に発行された米国特許第6429098号および2000年9月12日に発行された米国特許第6117750号、またはレインバーグ(Reinberg)(ミクロン・テクノロジー・インコーポレイテッド(MicronTechnology, Inc.))に2001年7月10日に発行された米国特許第6258664号に記載されているゲルマニウム前駆体などの、既知のゲルマニウム前駆体でよい。ゲルマニウム前駆体は市販されている。本発明で使用されるゲルマニウム前駆体の例は、GeHである。
本発明は、窒化シリコン、酸化シリコン、酸窒化シリコン、炭化シリコンなどを堆積する際に少なくとも1つのゲルマニウム前駆体を使用するために提供され、この堆積は、必要に応じて、例えば700℃以下での堆積であって室温やその他の温度での低温堆積によるものでよいことが有利である。好ましい実施形態では、本発明の方法は、P3iプラズマ侵入ツール内で室温で進行させ、それによって窒化物を堆積することができる。
窒化シリコンまたは酸化シリコン膜または酸窒化シリコン膜または炭化シリコン膜を生成するために本発明に非シリコン前駆体を含める場合、この形成プロセスは、通常なら従来通り成分に関して、例えば窒素前駆体(例えばNHなど)およびシリコン前駆体(例えばDCSなど)を用いて進行させることができる。窒化シリコン膜の形成では、窒素前駆体を含める。酸化シリコン膜の形成では、酸素前駆体を含める。窒化シリコンまたは酸化シリコン膜の形成では、シリコン前駆体を含める。シリコン前駆体は、窒化物または酸化物前駆体と異なってもまたは同じでもよいことが理解されよう。例えばBTBASは、シリコン前駆体および窒素前駆体としての役割を果たすことができる。本発明では、いくつかの実施形態において、試薬(例えばBTBASなど)を2種以上の前駆体として任意選択で使用することができる。
本発明によりゲルマニウム前駆体または炭素前駆体あるいはその両方を使用して形成する窒化膜または酸化膜または酸窒化膜または炭化膜に関する例示的な温度は、好ましくは700℃未満の温度であり、より好ましくは650℃未満の温度であり、さらに好ましくは500℃以下の温度である。例えばGeをドープする場合、500℃以下の有利な温度を使用して、Geドープ窒化シリコン膜を堆積することができる。本発明では、望ましい比較的低い堆積温度が可能になることが有利であるが、低い堆積温度は必ずしも全ての実施形態で使用する必要はなく、例えば膜は、様々な堆積温度で本発明により有利に応力調整できることが理解されよう。
本発明での使用に関して述べた非シリコン前駆体は特に限定されず、例として、ゲルマニウム前駆体、炭素前駆体、アルミニウム前駆体、ホウ素前駆体、ヒ素前駆体、ハフニウム前駆体、ガリウム前駆体、インジウム前駆体、また制限無くその他のドーパント前駆体などを挙げることができる。
本発明は、MOL障壁(バリア)技術に適用することもできる。例えばMOL障壁窒化物は、デバイスの信頼性(負バイアス温度不安定性(NBTI)など)を高めることができることが周知である。本発明は、ゲルマニウム前駆体または炭素前駆体あるいはその両方を使用することによって、異なる前駆体の組合せを使用した障壁窒化膜の化学的または物理的あるいはその両方の性質を調整できる能力を提供する。そのような能力を使用して、デバイスの信頼性の著しい向上を実現することができる。
本発明により形成された膜の厚さは特に限定されず、厚さは、用途に応じて選択することができる。膜の厚さは、その用途による要求に応じて、下は薄いものから(例えば500オングストローム、または10オングストローム、またはそれ以下の膜など)上は厚いもの(例えば1000オングストロームの膜、または5000オングストロームの膜、またはそれ以上のものなど)に及び、中間の厚さでは例えば約10〜5000オングストロームの範囲、およびそれより薄くまたは厚いものでよい。
本発明により作製された膜のドーパント濃度は特定のものではなく、望みに応じて調節することができる。ドーパント(例えばGeなど)濃度の例は、例えば約1〜10%の範囲内であり、別の例では約1〜50%である。
本発明は、ゲルマニウム前駆体および炭素前駆体、ゲルマニウム前駆体およびホウ素前駆体など、多数の非シリコン前駆体を使用する実施形態を含む。例えば、窒化シリコンまたは酸化シリコン膜の堆積中に多数の前駆体を添加することによって、望まれるように効果を高めることができる。
本発明は、例えばエッチングの終点(エンドポイント)を知らせるために使用することができる。例えば従来の窒化シリコンのエッチングを実施する場合、窒化シリコンの終わりでエッチングを停止してシリコンまでオーバー・エッチングしてはならないという課題があった。しかし、そのようなエッチングの終点はしばしばはっきりとしておらず、従来の方法ではシリコンまでエッチングしてしまうことが一般的であった。本発明では、ドープ窒化シリコン(Geドープ窒化シリコン)を使用する場合、窒化シリコン中にGeが存在することを利用してエッチングの終点を知らせることができ、それによって、有利なことに例えばGeの検出に発光分光法を使用するなどして(例えばGeフッ化物の信号を捜すことができる)、オーバー・エッチングを防止することができる。
そのような前述のエッチング・ストッパの例は限定されず、本発明は、様々なドープ窒化膜またはドープ酸化膜中のドーパントを信号(目印)として使用することにまで及ぶ。例えば、エッチング・ストッパ層としてドープされた薄い窒化シリコン層(Geドープ窒化シリコン層など)を提供することができ、ドーパントの信号(例えばGeの信号など)をモニタして、どこで層が始まるかを決定することができる。エッチングという意味で、本発明のいくつかの異なる実施例を提供することができる。別の例は、Geドープ窒化物の下の炭素またはホウ素ドープ酸化物の薄層である。そのような構造では、より良いエッチング結果のため、Ge信号の低下およびC信号の出現をモニタすることができる。エッチング・プロセスで本発明を使用するその他の例は、2つの異なるドーパントを使用することであり、例えばこれらの層のそれぞれに1つずつドーパントを準備し、または同じ層に2つの異なるドーパントを準備する。本発明は、最大限の感度となるよう制御される異なる信号を使用することを含み、上記事項は単なる一部の例であることが理解されよう。
本発明の別の用途は、ドーパントを含むことにより、ドーパントを含まない膜に比べ、形成された膜(例えば窒化シリコンや酸化シリコン)の応力を変化させることである。例えば窒化シリコン膜の場合、Geドーパントを含めることによって、膜の応力が引張り領域まで変化することがわかった。従来、RTCVD窒化シリコン膜は、約1〜1.5GPa(引張力)の応力を有する。窒化シリコン膜にGeを含めることにより、膜の応力が上昇するという著しい変化がもたらされ、例えばドープGe窒化シリコン膜ではその応力が1.5GPa(引張力)を超え、例えば2GPa(引張力)以上の応力になる。同じ応力ツール上で、本発明によりドープされた膜およびこれと同等の非ドープ膜を測定する場合、ドープされた膜では1GPa以上のΔ(デルタ)増分(好ましくは例えば1.2GPa以上のΔ増分)を得ることができる。また本発明を使用して、膜の応力を圧縮から引張りまで変化させることもでき、これは膜の性質が著しく変化したことを示す。
したがって本発明は、必要に応じて窒化シリコンまたは酸化シリコン膜または酸窒化シリコンまたは炭化シリコン膜の応力を調整するのに使用できることが有利である。
また本発明は、ドープ窒化シリコン膜、ドープ酸化シリコン膜、ドープ酸窒化シリコン膜、およびドープ炭化シリコン膜、例えばGeドープ窒化シリコン膜、Alドープ酸化シリコン膜、ホウ素ドープ窒化シリコン膜などを形成するのに使用することもできる。
LPCVD炉内で、DCSとNHの混合物に、2種の異なる温度、すなわち700℃と650℃でそれぞれGeHを添加した。標準の窒化シリコン膜も対照として785℃で堆積した。したがって2つのゲルマニウム・ドープ窒化シリコン膜と1種の標準の窒化シリコン膜を堆積した。その結果を図1、2、および3の図にまとめる。
図1で、一番上のプロットは785℃で堆積した膜に関するものであり、DSC/NH=0.3である。図1の真ん中のプロットは700℃で堆積した膜に関するもので、(DSC+Ge)/NH=0.3、Ge/DCS=0.25である。図1の一番下のプロットは650℃で堆積した膜に関し。700℃で堆積した膜と同じ比である。
図3から、プロセス・ガスにGeHを添加することによって、堆積速度の著しい増大が実現したことが明らかである。また、この実施例1のゲルマニウム・ドープ膜は、標準の高温膜と同様の特性(ウェット・エッチング速度によって決定される)を有している。
シリコン前駆体とアンモニアの混合物にゲルマン(germane)を添加することによって、既存のプロセスの堆積速度を増大させ、このプロセスをより製造可能なものにし、またはプロセスの堆積速度を削減させて将来の技術に拡張可能にし、または形成された膜の応力を操作し、あるいはこれらの組合せが実現される。
重要なことは、本発明者等が、窒化膜の形成中にゲルマニウムを添加することによって膜の応力を修正できることに気付いたことである。シリコン基板に対し、以下の結果を認めることができ、すなわち(i)Si−N膜では、応力が4×10ダイン/cm(圧縮)であり、(ii)SiGe−N膜では、応力が8.2×10ダイン/cm(引張力)である。
上記データが示すように、従来の窒化シリコン膜と本発明のゲルマニウム・ドープ窒化シリコン膜との間には、その応力にほぼ1桁の差がある。
堆積速度または応力あるいはその両方の調整に関する本発明の利点は、窒化膜に限定するものではなく、酸化膜(酸化シリコン膜など)およびその他の非晶質(アモルファス)膜などの膜にも当てはまることが理解されよう。
Geをシランとアンモニアの混合物に添加し、GeドープSi窒化膜を形成した。ゲルマンを含むプロセスでは、これと同等のゲルマンを含まないプロセスに比べて堆積速度が増大した。Geを含まないプロセスでは、形成された膜の応力が0.4GPa(圧縮)であった。Geを使用する本発明のプロセスでは、応力が0.8GPa(引張力)であった。したがって、本発明によるGeの使用により1.2GPaの応力変化が実現され、これは十分な改善であった。
図4を参照すると、本発明の一実施形態による応力膜の例が示されている。応力窒化物ライナ40(本発明により形成された)は、スペーサ41、層44(シリサイド)を備えたゲート(POLY)42であって、チャネル(SOI)上に在るゲートと共に使用することが示されている。
図5〜7を参照すると、本発明による終点(エンドポイント)検出用のカウンター・ドープされた窒化物または酸化物層の例が示されている。図5を参照すると、ゲート52を含むデバイスでは、スペーサ窒化物51(第1のドーパントを有する)が窒化物層または酸化物層50(第2のドーパントを有する)上に設けられている。図5の堆積時の膜を、図6に示す初期RIEステップにより処理し、その初期RIE中に第1のドーパントを検出する。次に、図7に示すように、最後のRIEステップを実施し、そこではエッチングの終点に到達する。最後のRIEステップ中、より少ない量の第1のドーパント(スペーサ窒化物51中またはエッチングされたスペーサ窒化物51’中)を検出し、第2のドーパント(窒化物または酸化物層50)の検出を開始する。エッチング終点ステップの後、制御可能にエッチングされたスペーサ窒化物51’が残る。
本発明を、その好ましい実施形態に関して述べてきたが、当業者なら、特許請求の精神および範囲内で修正を加えることによって本発明を実施できることが理解されよう。
1層の窒化シリコン膜と2層のLPCVD SiGe窒化膜に関して偏光解析測定を行った(49ポイント)図である。 図1に示される膜に関するものであり、偏光解析法(49ポント)に基づいた、1層の窒化シリコン膜と2層のSiGe窒化膜のエッチング速度の図である。 図1に示す膜に関するものであり、プロットがGeを含む場合と含まない場合とを示す、Ge混入量に応じた堆積速度の図である。 本発明の実施形態によるスペーサ、ゲート、およびチャネルと共に使用されている、応力ライナを示す側面図である。 本発明の実施形態による終点検出方法を示す図である。 本発明の実施形態による終点検出方法を示す図である。 本発明の実施形態による終点検出方法を示す図である。
符号の説明
40 応力窒化物ライナ
41 スペーサ
42 ゲート
51 スペーサ
52 ゲート

Claims (28)

  1. ドープ窒化シリコン膜、ドープ酸化シリコン膜、ドープ酸窒化シリコン膜、またはドープ炭化シリコン膜を形成する方法であって、少なくとも、
    少なくとも1種のシリコン前駆体を準備するステップと、
    窒素前駆体(前記シリコン前駆体と同じまたは異なってもよい)または酸素前駆体の少なくとも一方を準備するステップと、
    少なくとも1つの非シリコン前駆体(前記シリコン前駆体、前記窒素前駆体、または前記酸素前駆体、あるいはこれらの組合せと同じまたは異なってもよい)をさらに準備するステップと
    を含み、ドープ窒化シリコン膜、ドープ酸化シリコン膜、ドープ酸窒化シリコン膜、またはドープ炭化シリコン膜を形成する(前記膜がドープ酸化物である場合は、前記非シリコン前駆体がホウ素ではなくかつリンでもないことを条件とする)方法。
  2. 前記少なくとも1つのシリコン前駆体を準備するステップと、前記少なくとも1つの非シリコン前駆体を準備するステップとを同時に行い、ガスの流れの形で準備される、請求項1に記載の方法。
  3. 前記非シリコン前駆体がゲルマニウム前駆体である、請求項1に記載の方法。
  4. 前記非シリコン前駆体が、炭素前駆体、ホウ素前駆体、アルミニウム前駆体、ヒ素前駆体、ハフニウム前駆体、ガリウム前駆体、およびインジウム前駆体からなる群から選択される、請求項1に記載の方法。
  5. 形成された膜が窒化シリコン膜である、請求項1に記載の方法。
  6. 形成された膜が、ゲルマニウムまたは炭素あるいはその両方をドープした窒化シリコンまたは酸化シリコンである、請求項1に記載の方法。
  7. 形成された膜が調整可能な応力を有する、請求項1に記載の方法。
  8. 前記非シリコン前駆体が、
    GeHおよびGeHCHからなる群から選択された有機ゲルマニウム化合物またはゲルマニウム前駆体、
    ジボラン、
    トリメチルアルミニウム(TMA)、
    炭素前駆体、
    トリメチルGa、
    トリメチルIn、
    トリアルキルアミノGa、
    トリアルキルアミノIn、
    GaH
    InH
    AlH、および
    アルミニウムイソプロポキシド
    である、請求項1に記載の方法。
  9. 前記非シリコン前駆体が、ゲルマニウム、炭素、アルミニウム、ホウ素、ヒ素、ハフニウム、ガリウム、またはインジウムのアルキル水素化物またはアルキルアミノ水素化物である、請求項1に記載の方法。
  10. 形成された膜の、少なくもとも1つの化学的なまたは物理的な特性を調整するために、前駆体を変形させることを含む、請求項1に記載の方法。
  11. 前記前駆体の変形が少なくとも2つの前駆体の混合物である、請求項10に記載の方法。
  12. 前記少なくとも1つの化学的なまたは物理的な特性が、形成された膜の応力である、請求項10に記載の方法。
  13. 前記少なくとも1つの化学的なまたは物理的な特性が、ウェット・エッチング速度と、ドライ・エッチング速度と、エッチング終点と、堆積速度と、物理的、電気的、または光学的、あるいはこれらを組み合わせた特性とからなる群から選択される、請求項10に記載の方法。
  14. 堆積が、非シリコン前駆体を除外した場合よりも低い温度で行われる、請求項1に記載の方法。
  15. 約700℃よりも低い温度で実施する、請求項1に記載の方法。
  16. 堆積が、RTCVD、PECVD、LPCVD、遠隔プラズマ窒化物、またはALDである、請求項1に記載の方法。
  17. シランとアンモニアの混合物にゲルマンを添加し、GeドープSi窒化膜を形成することを含む、請求項1に記載の方法。
  18. 非シリコン前駆体からの非シリコン・ドーパントの信号を測定するステップをさらに含み、前記信号がエッチングを制御するために測定される、請求項1に記載の方法。
  19. 前記形成された膜が、均一に分布したGe濃度を有するGeドープ窒化シリコン膜である、請求項1に記載の方法。
  20. 約3GPa(圧縮)から3GPa(引張り)の範囲の調整可能な応力を有する、窒化シリコンまたは酸化シリコン膜。
  21. 前記膜にゲルマニウムをドープした、請求項20に記載の膜。
  22. 前記膜にホウ素をドープし、アルミニウムをドープし、炭素をドープし、ヒ素をドープし、ハフニウムをドープし、ガリウムをドープし、またはインジウムをドープし、あるいはこれらの組合せである、請求項20に記載の膜。
  23. 2つ以上のドーパントを含む、請求項20に記載の膜。
  24. 前記膜がGeドープであり、前記Geドープ膜が、Geをドープしないこと以外は同じプロセスで作製された膜よりも少なくとも約1.0GPa大きい応力を有する、請求項20に記載の膜。
  25. アルミニウムをドープした酸化シリコン膜。
  26. ゲルマニウムをドープした窒化シリコン膜。
  27. 前記膜が、均一に分布したGeを有するGeドープ窒化シリコン膜である、請求項26に記載の膜。
  28. 堆積が室温で実施される、請求項1に記載の方法。
JP2005182180A 2004-06-29 2005-06-22 ドープ窒化膜、ドープ酸化膜、およびその他のドープ膜 Expired - Fee Related JP5078240B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/710,245 US20050287747A1 (en) 2004-06-29 2004-06-29 Doped nitride film, doped oxide film and other doped films
US10/710,245 2004-06-29

Publications (3)

Publication Number Publication Date
JP2006013503A true JP2006013503A (ja) 2006-01-12
JP2006013503A5 JP2006013503A5 (ja) 2008-06-19
JP5078240B2 JP5078240B2 (ja) 2012-11-21

Family

ID=35506413

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005182180A Expired - Fee Related JP5078240B2 (ja) 2004-06-29 2005-06-22 ドープ窒化膜、ドープ酸化膜、およびその他のドープ膜

Country Status (4)

Country Link
US (4) US20050287747A1 (ja)
JP (1) JP5078240B2 (ja)
CN (1) CN100428424C (ja)
TW (1) TWI355684B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100772836B1 (ko) 2006-07-21 2007-11-01 동부일렉트로닉스 주식회사 반도체소자의 제조 방법
WO2009104507A1 (ja) * 2008-02-19 2009-08-27 東京エレクトロン株式会社 薄膜およびその薄膜を用いた半導体装置の製造方法
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
KR100995726B1 (ko) 2007-12-28 2010-11-19 가부시끼가이샤 도시바 불휘발성 반도체 메모리
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2014143416A (ja) * 2013-01-10 2014-08-07 Novellus Systems Incorporated 有機金属共反応物を用いた交差メタセシス反応によりSiCおよびSiCN膜を成膜するための装置及び方法
US9090969B2 (en) 2011-06-22 2015-07-28 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing and processing methods and apparatuses for forming a film
US9142403B2 (en) 2012-03-27 2015-09-22 Tokyo Electron Limited Method of forming boron-containing silicon oxycarbonitride film and method of forming silicon oxycarbonitride film
US9455137B2 (en) 2011-01-14 2016-09-27 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
KR20180018726A (ko) * 2015-06-16 2018-02-21 버슘머트리얼즈 유에스, 엘엘씨 할라이도실란 화합물 및 조성물 및 이를 사용하여 규소-함유 막을 증착시키기 위한 공정
KR20180053694A (ko) * 2015-09-11 2018-05-23 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
JP2018534772A (ja) * 2015-10-06 2018-11-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コンフォーマルな金属又はメタロイド窒化ケイ素膜の堆積方法
KR20190019979A (ko) * 2011-11-04 2019-02-27 에이에스엠 인터내셔널 엔.브이. 도핑된 실리콘 산화물 박막들을 형성하는 방법들
WO2022072158A1 (en) * 2020-09-29 2022-04-07 Applied Materials, Inc. Method of in situ ceramic coating deposition

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
JP2006165335A (ja) * 2004-12-08 2006-06-22 Toshiba Corp 半導体装置
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US7462527B2 (en) * 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7371649B2 (en) * 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
SG171683A1 (en) 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
KR100761857B1 (ko) * 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7790635B2 (en) * 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
US20080145978A1 (en) * 2006-12-18 2008-06-19 Air Liquide Electronics U.S. Lp Deposition of silicon germanium nitrogen precursors for strain engineering
US20080293194A1 (en) * 2007-05-24 2008-11-27 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor
US8084356B2 (en) * 2007-09-29 2011-12-27 Lam Research Corporation Methods of low-K dielectric and metal process integration
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US7994066B1 (en) * 2007-10-13 2011-08-09 Luxtera, Inc. Si surface cleaning for semiconductor circuits
US7994042B2 (en) * 2007-10-26 2011-08-09 International Business Machines Corporation Techniques for impeding reverse engineering
SG178736A1 (en) * 2007-10-31 2012-03-29 Advanced Tech Materials Amorphous ge/te deposition process
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US8343824B2 (en) * 2008-04-29 2013-01-01 International Rectifier Corporation Gallium nitride material processing and related device structures
WO2009152108A2 (en) * 2008-06-10 2009-12-17 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRISTALLINITY
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
KR20160084491A (ko) 2009-05-22 2016-07-13 엔테그리스, 아이엔씨. 저온 gst 방법
WO2010151856A2 (en) * 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR101602007B1 (ko) * 2009-07-02 2016-03-09 인티그리스, 인코포레이티드 유전체-충전된 중공 gst 구조
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
CN102194751A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 制作互补型金属氧化物半导体器件的方法
KR101706809B1 (ko) 2010-03-26 2017-02-15 엔테그리스, 아이엔씨. 게르마늄 안티몬 텔루라이드 물질 및 이를 포함하는 장치
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
WO2011146913A2 (en) 2010-05-21 2011-11-24 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
US8786012B2 (en) 2010-07-26 2014-07-22 Infineon Technologies Austria Ag Power semiconductor device and a method for forming a semiconductor device
US8614478B2 (en) 2010-07-26 2013-12-24 Infineon Technologies Austria Ag Method for protecting a semiconductor device against degradation, a semiconductor device protected against hot charge carriers and a manufacturing method therefor
CN102386089B (zh) * 2010-09-03 2013-06-12 中芯国际集成电路制造(上海)有限公司 制备半导体器件结构的方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101381900B1 (ko) * 2010-10-01 2014-04-04 한국전자통신연구원 링 공진기의 공진파장 가변 방법
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
KR101659463B1 (ko) 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
JP5793398B2 (ja) * 2011-10-28 2015-10-14 東京エレクトロン株式会社 シード層の形成方法及びシリコン含有薄膜の成膜方法
US9049061B2 (en) * 2012-03-21 2015-06-02 The Institute of Microelectronics Chinese Academy of Science CMOS device and method for manufacturing the same
CN102623409B (zh) * 2012-04-17 2014-08-13 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN102623334B (zh) * 2012-04-17 2014-10-22 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN102623333B (zh) * 2012-04-17 2014-09-03 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9500773B2 (en) * 2013-06-07 2016-11-22 Lawrence Livermore National Security, Llc High voltage photoconductive switch package
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9837281B2 (en) 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
JP6378070B2 (ja) * 2014-12-15 2018-08-22 東京エレクトロン株式会社 成膜方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105047559B (zh) * 2015-08-12 2018-01-12 沈阳拓荆科技有限公司 通过调整宝石球高度获得不同性能氮化硅薄膜的方法
CN105256375B (zh) * 2015-09-02 2017-10-31 光昱(厦门)新能源有限公司 一种提高太阳能电池扩散炉碳化硅桨抗疲劳强度的方法
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
CN106449907B (zh) * 2016-11-18 2019-04-12 电子科技大学 一种p型指数掺杂结构GaN光电阴极材料的生长方法
US10529563B2 (en) * 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN108417481B (zh) * 2018-03-22 2021-02-23 京东方科技集团股份有限公司 氮化硅介电层的处理方法、薄膜晶体管和显示装置
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
US20220195606A1 (en) * 2020-12-23 2022-06-23 Raytheon Technologies Corporation Method for metal vapor infiltration of cmc parts and articles containing the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5298473A (en) * 1976-02-13 1977-08-18 Hitachi Ltd Thin film material
JPH01286319A (ja) * 1988-05-12 1989-11-17 Sony Corp 気相成長方法
JPH02233531A (ja) * 1989-03-07 1990-09-17 Sony Corp 塗布ガラス組成物及び半導体装置
JPH0334426A (ja) * 1989-06-30 1991-02-14 Kawasaki Steel Corp 埋め込み酸化膜の形成方法
JPH04165623A (ja) * 1990-10-30 1992-06-11 Nec Corp シリコンボロンナイトライド膜の形成方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2557079C2 (de) * 1975-12-18 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zum Herstellen einer Maskierungsschicht
NL171942C (nl) * 1976-02-13 1983-06-01 Hitachi Ltd Werkwijze voor het vervaardigen van een halfgeleiderinrichting, waarbij op een halfgeleiderlichaam een menglaag van nitriden van silicium en germanium wordt aangebracht.
JPS5693375A (en) * 1979-12-26 1981-07-28 Shunpei Yamazaki Photoelectric conversion device
JPS56122123A (en) * 1980-03-03 1981-09-25 Shunpei Yamazaki Semiamorphous semiconductor
JPS56169333A (en) * 1980-05-29 1981-12-26 Fujitsu Ltd Semiconductor device
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4743563A (en) * 1987-05-26 1988-05-10 Motorola, Inc. Process of controlling surface doping
JPH01176067A (ja) * 1987-12-29 1989-07-12 Hoya Corp 窒化シリコン膜の成膜方法
US5347100A (en) * 1991-03-29 1994-09-13 Hitachi, Ltd. Semiconductor device, process for the production thereof and apparatus for microwave plasma treatment
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
US5478765A (en) * 1994-05-04 1995-12-26 Regents Of The University Of Texas System Method of making an ultra thin dielectric for electronic devices
EP0724286A1 (en) * 1995-01-25 1996-07-31 Applied Materials, Inc. A method of forming a thin film of silicon oxide for a semiconductor device
JP3597305B2 (ja) * 1996-03-05 2004-12-08 株式会社半導体エネルギー研究所 液晶表示装置およびその作製方法
US5741737A (en) * 1996-06-27 1998-04-21 Cypress Semiconductor Corporation MOS transistor with ramped gate oxide thickness and method for making same
TW335511B (en) * 1996-08-02 1998-07-01 Applied Materials Inc Stress control by fluorination of silica film
US5997634A (en) * 1996-11-14 1999-12-07 Micron Technology, Inc. Method of forming a crystalline phase material
JPH113869A (ja) * 1997-06-11 1999-01-06 Nec Corp 半導体装置の製造方法
US5972765A (en) * 1997-07-16 1999-10-26 International Business Machines Corporation Use of deuterated materials in semiconductor processing
US6306722B1 (en) * 1999-05-03 2001-10-23 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
FR2773177B1 (fr) * 1997-12-29 2000-03-17 France Telecom Procede d'obtention d'une couche de germanium ou silicium monocristallin sur un substrat de silicium ou germanium monocristallin, respectivement, et produits multicouches obtenus
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6258664B1 (en) * 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6261975B1 (en) * 1999-03-04 2001-07-17 Applied Materials, Inc. Method for depositing and planarizing fluorinated BPSG films
KR100419488B1 (ko) * 1999-03-10 2004-02-19 스미토모 도큐슈 긴조쿠 가부시키가이샤 열전 변환 재료 및 그 제조 방법
JP4597479B2 (ja) * 2000-11-22 2010-12-15 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6768857B2 (en) * 2001-05-11 2004-07-27 International Business Machines Corporation Method for manufacturing an optical device with a defined total device stress
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
DE60213555T2 (de) * 2002-03-28 2007-08-09 Fluid Automation Systems S.A. Elektromagnetisches Ventil
KR100769783B1 (ko) * 2002-03-29 2007-10-24 가부시끼가이샤 도시바 표시 입력 장치 및 표시 입력 시스템
JP2003297956A (ja) * 2002-04-04 2003-10-17 Toshiba Corp 半導体記憶装置及びその製造方法
US6624093B1 (en) * 2002-10-09 2003-09-23 Wisys Technology Foundation Method of producing high dielectric insulator for integrated circuit
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6930058B2 (en) * 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US6939814B2 (en) * 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5298473A (en) * 1976-02-13 1977-08-18 Hitachi Ltd Thin film material
JPH01286319A (ja) * 1988-05-12 1989-11-17 Sony Corp 気相成長方法
JPH02233531A (ja) * 1989-03-07 1990-09-17 Sony Corp 塗布ガラス組成物及び半導体装置
JPH0334426A (ja) * 1989-06-30 1991-02-14 Kawasaki Steel Corp 埋め込み酸化膜の形成方法
JPH04165623A (ja) * 1990-10-30 1992-06-11 Nec Corp シリコンボロンナイトライド膜の形成方法

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100772836B1 (ko) 2006-07-21 2007-11-01 동부일렉트로닉스 주식회사 반도체소자의 제조 방법
KR100995726B1 (ko) 2007-12-28 2010-11-19 가부시끼가이샤 도시바 불휘발성 반도체 메모리
WO2009104507A1 (ja) * 2008-02-19 2009-08-27 東京エレクトロン株式会社 薄膜およびその薄膜を用いた半導体装置の製造方法
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US9334567B2 (en) 2010-04-09 2016-05-10 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9018104B2 (en) 2010-04-09 2015-04-28 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
US9217199B2 (en) 2010-04-09 2015-12-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US9455137B2 (en) 2011-01-14 2016-09-27 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US9090969B2 (en) 2011-06-22 2015-07-28 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing and processing methods and apparatuses for forming a film
US9184046B2 (en) 2011-06-22 2015-11-10 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing and processing methods and apparatuses for forming a film
KR102103800B1 (ko) * 2011-11-04 2020-04-24 에이에스엠 인터내셔널 엔.브이. 도핑된 실리콘 산화물 박막들을 형성하는 방법들
KR20190019979A (ko) * 2011-11-04 2019-02-27 에이에스엠 인터내셔널 엔.브이. 도핑된 실리콘 산화물 박막들을 형성하는 방법들
KR102035701B1 (ko) * 2011-11-04 2019-10-23 에이에스엠 인터내셔널 엔.브이. 도핑된 실리콘 산화물 박막들을 형성하는 방법들
KR20190120151A (ko) * 2011-11-04 2019-10-23 에이에스엠 인터내셔널 엔.브이. 도핑된 실리콘 산화물 박막들을 형성하는 방법들
US9142403B2 (en) 2012-03-27 2015-09-22 Tokyo Electron Limited Method of forming boron-containing silicon oxycarbonitride film and method of forming silicon oxycarbonitride film
JP2014143416A (ja) * 2013-01-10 2014-08-07 Novellus Systems Incorporated 有機金属共反応物を用いた交差メタセシス反応によりSiCおよびSiCN膜を成膜するための装置及び方法
KR102307983B1 (ko) 2015-06-16 2021-09-30 버슘머트리얼즈 유에스, 엘엘씨 할라이도실란 화합물 및 조성물 및 이를 사용하여 규소-함유 막을 증착시키기 위한 공정
KR20180018726A (ko) * 2015-06-16 2018-02-21 버슘머트리얼즈 유에스, 엘엘씨 할라이도실란 화합물 및 조성물 및 이를 사용하여 규소-함유 막을 증착시키기 위한 공정
KR102393833B1 (ko) 2015-06-16 2022-05-02 버슘머트리얼즈 유에스, 엘엘씨 할라이도실란 화합물 및 조성물 및 이를 사용하여 규소-함유 막을 증착시키기 위한 공정
KR20210118966A (ko) * 2015-06-16 2021-10-01 버슘머트리얼즈 유에스, 엘엘씨 할라이도실란 화합물 및 조성물 및 이를 사용하여 규소-함유 막을 증착시키기 위한 공정
JP2018528615A (ja) * 2015-09-11 2018-09-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コンフォーマルな金属又はメタロイド窒化ケイ素膜を堆積するための方法及びその結果として得られる膜
KR102188750B1 (ko) * 2015-09-11 2020-12-08 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
KR20180053694A (ko) * 2015-09-11 2018-05-23 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
JP2018534772A (ja) * 2015-10-06 2018-11-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コンフォーマルな金属又はメタロイド窒化ケイ素膜の堆積方法
WO2022072158A1 (en) * 2020-09-29 2022-04-07 Applied Materials, Inc. Method of in situ ceramic coating deposition
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition

Also Published As

Publication number Publication date
TW200614349A (en) 2006-05-01
CN100428424C (zh) 2008-10-22
CN1716548A (zh) 2006-01-04
JP5078240B2 (ja) 2012-11-21
US20060138566A1 (en) 2006-06-29
TWI355684B (en) 2012-01-01
US7361611B2 (en) 2008-04-22
US20050287747A1 (en) 2005-12-29
US20080054228A1 (en) 2008-03-06
US20060237846A1 (en) 2006-10-26
US7595010B2 (en) 2009-09-29

Similar Documents

Publication Publication Date Title
JP5078240B2 (ja) ドープ窒化膜、ドープ酸化膜、およびその他のドープ膜
JP4906270B2 (ja) デバイス性能を向上させるコンタクト・エッチング層用の新材料
US7259050B2 (en) Semiconductor device and method of making the same
CN100452318C (zh) 用于产生栅极叠层侧壁隔片的方法
CN109216158A (zh) 形成硅锗锡层的方法和相关的半导体器件结构
JP2006013503A5 (ja)
US9871137B2 (en) Method for forming semiconductor device structure
US20070251444A1 (en) PEALD Deposition of a Silicon-Based Material
US8415236B2 (en) Methods for reducing loading effects during film formation
WO2012044622A2 (en) Low-temperature dielectric film formation by chemical vapor deposition
TW200406503A (en) Methods for producing silicon nitride films and silicon oxynitride films by thermal chemical vapor deposition
KR101821707B1 (ko) 인장 변형 적용들을 위한 고인장 실리콘 합금의 에피택시
KR20070030466A (ko) 반도체 모스 트랜지스터와 그 제조 방법
US20060216882A1 (en) Using Oxynitride Spacer to Reduce Parasitic Capacitance in CMOS Devices
CN101364538B (zh) 栅层形成方法
KR100551185B1 (ko) 반도체 장치 및 그 제조 방법
KR101934773B1 (ko) 저온에서의 실리콘-함유 박막 형성방법
CN113725066A (zh) 用于沉积含硼和镓的硅锗层的方法
Wu et al. Optimization of the CD Uniformity (CDU) in Silicon Oxide Spacer Process for 5 NM FIN SAQP Process Flow
CN102915923B (zh) 双应力薄膜的制造方法以及半导体器件
KR20230170068A (ko) 규소 전구체 화합물 및 규소 함유 막의 형성 방법
CN101593700A (zh) 侧墙、半导体器件及其形成方法
CN102103991A (zh) 外延层和晶体管制作方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080425

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080425

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101221

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110317

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110721

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120110

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120807

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20120807

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120828

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150907

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees