KR102197565B1 - 높은 알루미늄 함량을 갖는 알루미늄 합금을 포함하는 필름의 증착 - Google Patents

높은 알루미늄 함량을 갖는 알루미늄 합금을 포함하는 필름의 증착 Download PDF

Info

Publication number
KR102197565B1
KR102197565B1 KR1020157013242A KR20157013242A KR102197565B1 KR 102197565 B1 KR102197565 B1 KR 102197565B1 KR 1020157013242 A KR1020157013242 A KR 1020157013242A KR 20157013242 A KR20157013242 A KR 20157013242A KR 102197565 B1 KR102197565 B1 KR 102197565B1
Authority
KR
South Korea
Prior art keywords
precursor
aluminum
substrate surface
allane
metal
Prior art date
Application number
KR1020157013242A
Other languages
English (en)
Other versions
KR20150076213A (ko
Inventor
데이비드 톰슨
스리니바스 간디코타
신리앙 루
웨이 탕
징 조우
세샤드리 간구리
제프리 더블유. 안티스
아티프 누리
파루크 군고르
디엔-예 우
메이 창
시 청 첸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150076213A publication Critical patent/KR20150076213A/ko
Application granted granted Critical
Publication of KR102197565B1 publication Critical patent/KR102197565B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

알루미늄, 탄소 및 금속을 포함하는 필름으로서, 알루미늄이 원소 함량으로 약 16% 초과의 양으로 존재하며, 탄소가 약 50% 미만의 양으로 존재하는 필름이 제공된다. 또한, 이를 증착시키는 방법이 제공된다.

Description

높은 알루미늄 함량을 갖는 알루미늄 합금을 포함하는 필름의 증착 {DEPOSITION OF FILMS COMPRISING ALUMINUM ALLOYS WITH HIGH ALUMINUM CONTENT}
본 발명의 구체예들은 일반적으로 필름 증착, 및 상세하게 N-금속 필름들로서 적합한 필름들의 증착에 관한 것이다.
기판 표면 상에 얇은 필름들의 증착은 반도체 가공, 확산 배리어 코팅들, 및 자기 판독/기록 헤드용 유전체들을 포함하는 다양한 산업들에서 중요한 공정이다. 반도체 산업에서, 특히, 소형화(miniaturization)는 고종횡비의 구조물들(high aspect structures) 상에 콘포말한 코팅(conformal coating)들을 형성시키기 위해 얇은 필름 증착(thin film deposition)의 원자 수준 제어를 필요로 한다.
중요한 필름들 중 한 카테고리(category)는 금속 카바이드들이다. 이러한 필름들은 게이트 스택(gate stack)들을 포함하는 여러 적용들에서 도입된다. 비교적 적은 양의 알루미늄을 포함하는 필름들을 증착하는 몇몇 공정을 포함하는 일부 금속 카바이드 공정이 알려져 있다. 그러나, 필름 중에 비교적 높은 수준의 알루미늄을 포함하는 알루미늄 카바이드 필름을 증착시키기 위한 공정은 아직까지 알려져 있지 않다. 추가적으로, 어스펙트 피쳐(aspect feature)들이 크기에 있어서 감소함에 따라 요구되는 저항률(resistivity)을 점점 높아지게 하기 위하여, 탄소 함량을 감소시킬 필요가 존재할 것이다. 이에 따라, 비교적 많은 양의 알루미늄, 및/또는 비교적 적은 양의 탄소를 포함하는 필름들, 및 이러한 필름들을 증착시키는 방법이 요구되고 있다.
본 발명의 일 양태는 알루미늄, 탄소 및 금속을 포함하는 필름으로서, 알루미늄이 원소 함량으로 약 16% 초과의 양으로 존재하며, 탄소가 약 50% 미만으로 존재하는 필름에 관한 것이다. 일부 구체예들에서, 금속은 Ti, Ta 및 Hf로 이루어진 군으로부터 선택된다. 하나 이상의 구체예들에서, 알루미늄은 원소 함량으로 약 20% 초과의 양으로 존재한다. 일부 구체예들에서, 탄소는 원소 함량으로 약 30% 미만의 양으로 존재한다. 하나 이상의 구체예들에서, 원소 함량으로 약 50% 미만의 탄소에 대한 금속의 비율이 존재한다.
본 발명의 제2 양태는 필름을 증착시키는 방법으로서, 기판 표면을 금속 할라이드를 포함하는 금속 할라이드 전구체에 노출시켜 기판 표면에 금속 할라이드를 제공하고; 과량의 금속 할라이드를 퍼징하고; 기판 표면을 트리메틸 알루미늄, 트리에틸 알루미늄, 디메틸알루미늄 하이드라이드 (DMAH), 디에틸하이드라이도알루미늄, 메틸디하이드로알루미늄 및 화학식 [(CxHy)3- aAlHa]n (여기서, x는 1 내지 3의 수치를 가지며, y는 2x+2의 수치를 가지며, a는 1 내지 2의 수치를 가지며, n은 1 내지 4의 수치를 가짐) 중 하나 이상을 포함하는 하나 이상의 알킬 알루미늄 전구체들에 노출시키는 것을 포함하는 방법에 관한 것이다. 일부 구체예들에서, 본 방법은 기판 표면을 아민-알란 및 안정화 아민(stabilizing amine)에 노출시켜 금속 알루미늄 합금을 포함하는 N-금속 필름을 제공하는 것을 추가로 포함한다. 하나 이상의 구체예들에서, 기판 표면은 약 200 또는 300 내지 약 400℃의 온도를 갖는다.
일부 구체예들에서, 알킬 알루미늄 전구체에 대한 노출은 알란 전구체에 대한 노출 이전에 일어난다. 하나 이상의 구체예들에서, 알킬 알루미늄 전구체에 대한 노출은 알란 전구체에 대한 노출 후에 일어난다. 일부 구체예들에서, 안정화 아민은 디메틸사이클로헥실아민 및 디사이클로메틸헥실아민으로부터 선택된다. 하나 이상의 구체예들에서, 금속은 Ti, Ta 및 Hf 중 하나 이상으로부터 선택된다.
일부 구체예들에서, 금속 할라이드는 TiCl4, TaCl5 및 HfCl4로 이루어진 군으로부터 선택된 금속 할라이드로부터 선택된다. 하나 이상의 구체예들에서, 알킬 알루미늄에 기판 표면의 노출은 알란 전구체에 기판 표면의 노출과 적어도 일부 겹쳐진다.
본 방법은 추가적인 단계들을 포함할 수 있다. 일부 구체예들에서, 본 방법은 알란 전구체를 퍼징시키는 것을 추가로 포함한다. 하나 이상의 구체예들에서, 본 방법은 기판 표면을 아민에 노출시키는 것을 추가로 포함하는데, 여기서 기판 표면이 알킬 알루미늄 및/또는 알란 전구체에 노출되는 동안에 기판 표면은 아민에 노출된다. 일부 구체예들에서, 본 방법은 N-금속 필름을 합금제로 액침시키는 것을 추가로 포함하는데, 여기서 합금제는 SiH4, GeH4, 트리메틸갈륨, 및 B2H6 중 하나 이상을 포함한다. 하나 이상의 구체예들에서, 본 방법은 기판을 제3 전구체에 노출시키는 동안에 기판 표면을 디메틸에틸아민, 디메틸사이클로헥실아민 또는 피롤리딘 알란 중 하나 이상을 포함하는 제4 전구체에 노출시키는 것을 추가로 포함한다.
하나 이상의 구체예들에서, N-금속 필름은 20% 미만의 탄소를 함유한다. 일부 구체예들에서, 전구체는 증기 전구체이다. 하나 이상의 구체예들에서, 본 방법은 CVD 또는 ALD에 의해 수행된다.
본 발명의 다른 양태는 상술된 방법들 중 임의의 방법에 의해 형성된 필름에 관한 것이다.
본 발명의 여러 예시적인 구체예들을 기술하기 전에, 본 발명이 하기 설명에 기술된 구조 또는 공정 단계들의 세부사항들로 제한되지 않는 것으로 이해된다. 본 발명은 다른 구체예들일 수 있고, 다양한 방식으로 실행되거나 수행될 수 있다.
본원에서 사용되는 "기판(substrate)"은 임의의 기판, 또는 제작 공정 동안에 그 위에서 필름 가공이 수행되는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 그 위에서 공정이 수행될 수 있는 기판 표면은 적용에 따라, 규소, 규소 옥사이드, 스트레인드 규소(strained silicon), 규소-온-절연체(silicon on insulator; SOI), 탄소 도핑된 규소 옥사이드들, 규소 니트라이드, 도핑된 규소, 게르마늄, 갈륨 아르세나이드, 유리, 사파이어, 및 임의의 다른 물질들, 예를 들어 금속, 금속 니트라이드, 금속 합금, 및 다른 전도성 물질들을 포함한다. 기판들은, 비제한적으로, 반도체 웨이퍼들을 포함한다. 기판들은 전처리 공정에 노출되어 기판 표면을 폴리싱, 에칭, 환원, 산화, 하이드록실화, 어닐링 및/또는 베이킹시킬 수 있다. 기판 자체의 표면 상에 직접적으로 필름 가공하는 것 이외에, 본 발명에서, 기술된 필름 가공 단계들 중 임의의 단계는 또한 하기에서 보다 상세하게 기술하는 바와 같이 기판 상에 형성된 하부층 상에서 수행될 수 있으며, 용어 "기판 표면"은 문맥에서 명시되는 바와 같이, 이러한 하부층을 포함하는 것으로 의도된다.
본 발명의 다양한 구체예들에 따르면, N-금속 필름들로서 적합한 알루미늄 금속 합금들의 증착과 관련한 방법이 제공된다. 하나 이상의 구체예들에서, 필름들은 알루미늄, 탄소 및 다른 금속을 포함한다. 하나 이상의 구체예들에서, 본원에 제공된 필름들은 원소 함량으로 약 16% 초과의 알루미늄 함량을 함유하는데, 이는 이전에 달성된 적이 없는 것이다. 일부 구체예들에서, 본원에 기술된 필름들은 매우 콘포멀하게(conformally) 증착된다. 또한, 본원에 기술된 필름들의 구체예들은 조정 가능한 금속 및 알루미늄 함량을 특징으로 하는데, 이에 따라 증착된 필름의 성질을 조절할 수 있게 한다.
일반적으로, 본 방법들의 구체예들은 금속 할라이드를 기판 표면에 도입시키고, 금속 할라이드를 퍼징시키고, 알란 소스 화학(alane source chemistry)을 안정화 아민과 함께 혼합시키고, 알킬 알루미늄 또는 알킬 알루미늄 하이드라이드를 챔버에 도입하는 것을 기술한다. 알킬 알루미늄 전구체의 도입은 안정화 아민과 함께 아민-알란의 도입 이전, 동안, 또는 이후에 일어날 수 있다. 임의적으로, 퍼징은 기판을 알란 및/또는 알킬 알루미늄 전구체들에 노출시킨 후에 일어날 수 있다.
이에 따라, 본 발명의 일 양태는 알루미늄, 탄소 및 금속을 포함하는 필름으로서, 알루미늄이 원소 함량으로 약 16% 초과의 양으로 존재하는 필름에 관한 것이다. 추가 구체예들에서, 필름은 원소 함량으로 18%, 20%, 25%, 30%, 또는 40% 초과의 알루미늄을 포함한다. 추가 구체예들에서, 필름은 원소 함량으로 약 50% 미만의 알루미늄을 포함한다. 상기에 기술된 바와 같이, 이러한 높은 수준의 알루미늄 함량은 알루미늄, 탄소 및 다른 금속을 포함하는 필름들에서 아직까지 달성된 적이 없는 것이다.
종래에 알려진 유사한 필름들은 이러한 높은 수준의 알루미늄을 달성하지 못하였다. 임의의 특정 이론으로 제한하고자 하는 것은 아니지만, 금속 할라이드들 (예를 들어, TiCl4 또는 TaCl5) 및 알킬 알루미늄 전구체들 (예를 들어, 트리메틸 알루미늄 또는 트리에틸 알루미늄)을 사용하는 방법들에서, 할라이드 리간드가 Al 원자와 반응하여 알루미늄을 포함하는 모이어티(moiety), 및 하나 이상의 할라이드 원자들에 의한 알킬 사슬의 치환을 발생시키는 것으로 사료된다. 이러한 것이 휘발성 산물이기 때문에, 사이클은 주로 알킬-말단 금속 표면을 야기시킨다. 금속 할라이드가 알킬-말단 금속 표면에 노출될 때에, 할라이드들에 대해 교환된 알킬 기들과 같은 후속 사이클들과 함께 일어나는 표면 반응들이 존재할 수 있지만, 일어나는 전체 성장 반응이 존재하지 않고, 이에 따라 알루미늄 함량을 제한한다.
이에 따라, 알란 전구체 (예를 들어, 알란 아민)를 첨가함으로써, 알킬-금속 말단화가 하이드라이드-금속 말단화로 변경될 수 있을 것으로 사료된다. 알란 전구체는 CVD 공정을 통과한 후에 표면 전이(surface transition)로 진행될 수 있다. 알루미늄이 풍부한 표면이 존재하자마자, 티탄은 후속 사이클들에서 증착할 수 있다. 하나 이상의 구체예들에서, Al:Ti의 비는 TiCl4 펄스들에 대한 알란의 비율에 의해 영향을 받는다.
그러나, 알란은 매우 반응적일 수 있고, 이에 따라, 안정화 화합물이 이러한 상황 하에서, 특히 온도가 비교적 높은 경우에, 유용할 수 있다. 이에 따라, 하나 이상의 구체예들에서, 증착 온도는 약 200, 250 또는 300℃ 보다 높다. 일 구체예에서, 증착은 약 225, 250, 275, 300 또는 325℃ 내지 약 350, 375, 400, 또는 425℃의 온도에서 일어난다. 이에 따라, 본 발명의 일부 구체예들에서, 알란 전구체는 아민으로 안정화된다. 추가 구체예들에서, 안정화 아민들은 비교적 낮은 증기압을 갖는다. 이러한 아민들의 비제한적인 예에는 디메틸사이클로헥실아민 (DMCA) 및 디사이클로메틸헥실아민이 있다.
제공된 필름들의 구체예들은 종래에 접하게 되는 것 보다 더욱 낮은 수준의 탄소를 나타낸다. 일부 구체예들에서, 얻어진 필름 중의 탄소는 약 50, 40, 30, 20, 15, 10 또는 5% 원자비율 미만이다. 임의의 특정 이론으로 제한하고자 하는 것은 아니지만, 알킬 알루미늄과 아민 간의 상호작용이 존재할 수 있는데, 이는 R3N → 알킬 알루미늄으로 표현되는 구조를 갖는 모노머를 야기시키고, 이에 따라 모노머들이 탄소를 도입시키기에 보다 덜 용이할 수 있을 것으로 사료된다. 이에 따라, 예를 들어 DMAH가 사용되는 경우에, 모노머 R3N → DMAH가 형성될 것이다.
또한, 적어도 일부 구체예들에서, 할라이드 말단 표면과 알킬 알루미늄 간에 직접 반응이 존재하지 않을 것으로 사료된다. 즉, 알란이 할라이드를 제거할 것으로 사료된다. 결과적으로, 감소된 수준의 탄소가 필름에 도입될 것으로 사료된다. 또한, 알킬 알루미늄이 두 가지 방식 중 하나의 방식으로 작용할 것으로 사료된다. 제1의 가능한 경로는, 알킬 알루미늄이 콘포말한(conformal) CVD 알루미늄 필름을 증착시킨다는 것이다. 이러한 경우에, 본 방법은 알란 전구체, 금속 할라이드 및 알킬 알루미늄 전구체에 의해 증착된 Ti-풍부한 TiAl 필름의 나노라미네이트 공정(nanolaminate process)을 구성할 수 있다. 대안적으로, 알킬 알루미늄 전구체가 열적으로 안정한 CVD 알루미늄 소스와 함께 알란 전구체 및 금속 할라이드로부터 증착된 Ti-풍부한 TiAl 필름을 액침시키는 것이 가능하다. Ti-풍부한 TiAl 필름은 알루미늄 함량을 증가시키기 위해 액침에 의해 처리된다.
이에 따라, 예를 들어, 본 발명의 일 양태는 필름을 증착시키는 방법에 관한 것이다. 본 방법은 금속 할라이드를 포함하는 금속 할라이드 전구체에 기판 표면을 노출시켜 기판 표면에 금속 할라이드를 제공하고; 과량의 금속 할라이드를 퍼징하고; 기판 표면을 트리메틸 알루미늄 (TMA), 트리에틸 알루미늄 (TEA), 디메틸알루미늄 하이드라이드, 디에틸하이드라이도알루미늄, 메틸디하이드로알루미늄, 및 화학식 [(CxHy)3- aAlHa]n (여기서, x는 1 내지 3의 수치를 가지며, y는 2x+2의 수치를 가지며, a는 1 내지 2의 수치를 가지며, n은 1 내지 4의 수치를 가짐)의 알킬 알루미늄 하이드라이드들 중 하나 이상을 포함하는 하나 이상의 알킬 알루미늄 전구체들에 노출시키는 것을 포함한다. 일부 구체예들에서, 본 방법은 기판 표면을 아민-알란 및 안정화 아민에 노출시켜 금속 알루미늄 합금을 포함하는 N-금속 필름을 제공하는 것을 추가로 포함한다. 하나 이상의 구체예들에서, 기판 표면은 약 200 또는 300 내지 약 400℃의 온도를 갖는다. 용어 "퍼지(purge)"는 가공 챔버 내에서 임의의 과량의 전구체, 부산물들 및 다른 오염물질들을 제거하는 것을 의미한다. 운반 가스, 퍼지 가스, 또는 다른 공정 가스는 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합물을 함유할 수 있다. 본원에서 사용되는 전구체와 관련한 용어 "과량"은 표면에 존재하지 않고/거나 그밖에 증착 반응을 위해 이용 가능하거나 요구되지 않는 전구체를 의미한다. 하나 이상의 구체예들에서, 기판은 하나 초과의 알킬 알루미늄에 노출될 수 있다.
하나 이상의 구체예들에서, 상기 공정은 다양한 순서들로 진행할 수 있다. 예를 들어, 알킬 알루미늄 전구체 노출은 알란 전구체 및 안정화 아민에 노출되기 전 또는 후에 일어날 수 있거나, 그 반대로도 일어날 수 있다. 다른 구체예들에서, 이러한 것은 병류(co-flow)일 수 있다.
하나 이상의 구체예들에서, 알킬 알루미늄에 대한 기판 표면의 노출은 알란 전구체에 대한 기판 표면의 노출과 적어도 일부 겹쳐진다. 또 다른 구체예들에서, 알킬 알루미늄 및 알란 전구체들은 교대로 나올 수 있고, 흐름들에서 일부 중첩을 특징으로 할 수 있거나 이를 특징으로 하지 않을 수 있다.
하나 이상의 구체예들에서, 본 방법들은 기판 표면이 알란 전구체에 노출된 후에 알란 전구체를 퍼징하는 것을 추가로 포함할 수 있다. 이는 과량의 전구체를 제거하기 위해 수행될 수 있다.
또 다른 구체예들에서, 안정화 아민들과 함께 또는 이의 없이 알킬 알루미늄 및 알란 전구체들은 교대로 나올 수 있지만, 흐름들 중에 일부 중첩을 특징으로 한다. 이에 따라, 예를 들어, 하나 이상의 구체예들에서, 알킬 알루미늄에 대한 기판 표면의 노출은 DMEAA에 대한 기판 표면의 노출과 적어도 일부 겹쳐진다.
본 발명의 다양한 구체예들은 아민에 배위된 알란 (알루미늄 하이드라이드 또는 AlH3) 전구체들의 용도에 관한 것이다. 알란은 일반적으로 불안정한 화합물이지만, 일부 상황들에서 아민에 대한 배위에 의해 안정화될 수 있다. 이러한 전구체들은 화학식 AlH3-NR3으로 나타낼 수 있다. 이러한 전구체들은 하기 반응식 1에 따라 합성될 수 있다:
LiAlH4 + NR3-HCl → AlH3-NR3 + H2 + LiCl (반응식 1)
이러한 아민들의 예들은 디메틸에틸아민 알란 (DMEAA), 메틸피롤리딘 알란 (MPA), 및 디(메틸피롤리딘) 알란 (MP2A)을 포함하지만, 이로 제한되지 않는다.
본 발명의 다양한 구체예들에 따르면, 금속 할라이드들은 또한 전구체로서 사용된다. 금속들은 요망되는 금속 필름에 따라 선택될 수 있다. 하나 이상의 구체예들에서, 금속은 Ti, Ta 및 Hf로부터 선택된다. 하나 이상의 구체예들에서, 할라이드는 Cl, I 및 Br로부터 선택되며, 이에 따라, 제2 전구체는 금속 클로라이드, 금속 요오다이드, 또는 금속 브로마이드를 포함한다. 이에 따라, 예를 들어, 금속 할라이드 전구체는 TiCl4, TaCl5 및 HfCl4로부터 선택될 수 있다.
본원의 방법들은 추가 서브-공정들을 특징으로 할 수 있다. 예를 들어, 하나 이상의 구체예들에서, 상술된 방법들은 N-금속 필름을 합금제로 액침시키는 것을 추가로 포함한다. 본원에서 사용되는 "액침시키다" 또는 "액침(soaking)"은 기판이 반응물 가스에 노출되고, 가스가 표면과 반응하지만, 층을 증착시키지 않는 공정을 지칭한다. 추가 구체예들에서, 합금제는 SiH4, GeH4, 트리메틸갈륨, 및 B2H6 중 하나 이상을 포함한다.
본원에 기술된 방법들의 다른 구체예들은 기판 표면을 아민에 노출시키는 것을 추가로 포함할 수 있는데, 여기서 기판 표면이 알킬 알루미늄 전구체 및/또는 알란 전구체에 노출되는 동안에 기판 표면은 아민에 노출된다.
일부 구체예들에서, 본 방법들은 기판을 제3 전구체에 노출하는 동안에, 기판 표면을 디메틸에틸아민, 디메틸사이클로헥실아민 또는 피롤리딘 알란 중 하나 이상을 포함하는 제4 전구체에 노출시키는 것을 추가로 포함할 수 있다. 추가 구체예들에서, 아민은 적어도 알란 전구체 및/또는 알킬 알루미늄 전구체에 대한 기판 노출 동안에 흐르게 된다.
하나 이상의 구체예들에서, 필름들은 ALD, CVD 또는 PVD 공정을 이용하여 증착된다. CVD 공정과 관련한 구체예들에서, 기판은 하나 초과의 전구체에, 적절한 경우에 연속적으로 동시에, 또는 실질적으로 동시에 노출될 수 있다.
다른 구체예들에서, 필름들은 원자층 증착(ALD) 공정을 이용하여 증착된다. 이에 따라, 일 구체예에서, 기판 표면을 둘 이상의 전구체들과 접촉시키는 것은 연속적으로 또는 실질적으로 연속적으로 일어난다. 보다 특정의 구체예에서, 본 방법은 금속 할라이드 노출, 이후 퍼지, 이후 DMAH에 대한 노출, 이후 다른 퍼지, 이후 DMAH에 대한 노출, 이후 또 다른 퍼지를 포함한다. ALD 공정의 예시적인 구체예에서, 제1 화학적 전구체 ("A"), 예를 들어 TiCl4 또는 TaCl5는 제1 반쪽 반응(half reaction)에서 기판 표면으로 펄스화되거나 흐르게 된다. 과량의 반응물들 및 반응 부산물들은 통상적으로 배기-펌프 다운(evacuation-pump down)에 의해 및/또는 흐르는 불활성 퍼지 가스에 의해 제거된다. 이후에, 전구체 "B", 예를 들어 DMAH는 표면으로 전달되는데, 여기서 제1 반쪽 반응의 전구체들은 "B" 전구체로부터의 새로운 리간드들과 반응되어, 교환 부산물을 형성시킨다. "B" 상호-반응물(co-reactant)은 또한 포화하는 제2 반쪽 반응을 제공하기 위하여 기본 반응성 종들과의 자가-포화 결합을 형성시킨다. 제2 퍼지 시간은 통상적으로 사용되지 않는 반응물들 및 반응 부산물들을 제거하기 위해 사용된다. "C" 전구체, 예를 들어 DMEAA는 이후에 안정화 아민과 함께 동시에 또는 이의 없이 흐르게 되어 기본 종들과 반응하며, 이후에 과량의 "C" 전구체가 제거된다. "A," "B," 및 "C" 전구체들 및 퍼지 가스들은 이후에 다시 흐르게 될 수 있다. 반응물들에 대한 표면의 교대 노출은 요망되는 두께의 필름에 도달할 때까지 계속되며, 이는 대부분의 기대되는 적용을 위하여, 대략적으로 5 Å 내지 100 Å의 범위, 및 보다 상세하게 약 15 Å, 20 Å, 25 Å 또는 30 Å 내지 약 45 Å, 50 Å, 55 Å 또는 60 Å일 것이다. 반응물들 및 퍼지 가스들이 동시에 흐를 수 있으며, 기판 및/또는 가스 흐름 노즐이 진동할 수 있어, 기판이 반응물 및 요망되는 경우에 퍼지 가스들에 연속적으로 노출되게 하는 것으로 이해될 것이다. 물론, 상술된 ALD 사이클은 단지 광범위한 ALD 공정 사이클의 예시로서, 여기에서 증착된 층은 전구체들 및/또는 상호-반응물들의 교대 층들에 의해 형성된다.
증착 공정 조건들은 사용되는 특정 순서에 대해 적합한 임의의 조건들일 수 있다. 예를 들어, 비-병류(non-co-flow) 순서에서, 금속 할라이드는 약 2, 4, 또는 6 T 내지 약 36, 38, 40 또는 42 T의 챔버 압력과 함께 약 40, 50 또는 60 sccm 내지 약 90, 100 또는 110 sccm의 유량으로 흐르게 될 수 있다. 퍼지 및 펌프 시간은 약 2, 4, 또는 6 초 내지 약 18, 20 또는 22 초의 범위일 수 있다. 노출 시간은 약 3, 5, 또는 7 초 내지 약 48, 50 또는 52 초의 범위일 수 있다. 알란 전구체 및/또는 안정화 아민은 약 2, 4 또는 6 T 내지 약 38, 40 또는 42 T의 챔버 압력과 함께, 약 450, 500 또는 550 sccm 내지 약 950, 1000 또는 1050 sccm의 유량으로 흐르게 될 수 있다. 퍼지 및 펌프 시간은 약 2, 4, 또는 6 초 내지 약 18, 20 또는 22 초의 범위일 수 있다. 노출 시간은 약 3, 5, 또는 7 초 내지 약 18, 20 또는 22 초의 범위일 수 있다. 알킬 알루미늄 전구체는 약 2, 4 또는 6 T 내지 약 38, 40 또는 42 T의 챔버 압력과 함께, 약 450, 500 또는 550 sccm 내지 약 950, 1000 또는 1050 sccm의 유량으로 흐르게 될 수 있다. 퍼지 및 펌프 시간은 약 2, 4, 또는 6 초 내지 약 18, 20 또는 22 초의 범위일 수 있다. 제4 전구체 (즉, DMEA 또는 DMCA)가 사용되는 구체예들에서, 전구체는 약 2, 4, 또는 6 T 내지 약 36, 38, 40 또는 42 T의 챔버 압력과 함께, 약 40, 50 또는 60 sccm 내지 약 90, 100 또는 110 sccm의 유량으로 흐르게 될 수 있다. 일부 구체예들에서, 제4 전구체는 앰플(ampoule)을 통해 알란 전구체와 함께 흐르게 될 수 있거나, 뚜껑에서 혼합될 수 있다. 일부 구체예들에서, 퍼지 및 펌프 시간은 알란 전구체의 것과 매칭될 것이다. 하나 이상의 구체예들에서, 필름은 약 250, 275 또는 300℃ 내지 약 450, 475, 또는 500℃의 온도에서 증착후 어닐(post-deposition anneal)로 처리될 수 있다.
병류에 관한 구체예들에서, 금속 할라이드는 약 2, 4, 또는 6 T 내지 약 36, 38, 40 또는 42 T의 챔버 압력과 함께, 약 40, 50 또는 60 sccm 내지 약 90, 100 또는 110 sccm의 유량으로 흐르게 될 수 있다. 퍼지 및 펌프 시간은 약 2, 4, 또는 6 초 내지 약 18, 20 또는 22 초의 범위일 수 있다. 노출 시간은 약 3, 5, 또는 7 초 내지 약 48, 50 또는 52 초의 범위일 수 있다. 알란 및 알킬 알루미늄 전구체들은 약 2, 4 또는 6 T 내지 약 38, 40 또는 42 T의 챔버 압력과 함께, 약 450, 500 또는 550 sccm 내지 약 950, 1000 또는 1050 sccm의 유량으로 병류될 수 있다. 퍼지 및 펌프 시간은 약 2, 4, 또는 6 초 내지 약 18, 20 또는 22 초의 범위일 수 있다. 노출 시간은 약 3, 5, 또는 7 초 내지 약 18, 20 또는 22 초의 범위일 수 있다. 제4 전구체를 갖는 구체예들에서, 조건들은 상술된 바와 같이 따를 것이다.
전구체들 및/또는 반응물들은 가스 또는 증기의 상태 또는 증기 증착 공정을 위해 유용한 물질의 다른 상태일 수 있다. 퍼지 동안에, 통상적으로 불활성 가스는 반응 구역을 퍼징하거나 그밖에 반응 구역으로부터 임의의 잔류 반응성 화합물 또는 부산물들을 제거하기 위하여 가공 챔버에 도입된다. 대안적으로, 퍼지 가스는 단지 퍼지 가스가 전구체 및 상호-반응물들의 펄스들 간의 시간 지연 동안에 흐를 수 있도록 증착 공정 전반에 걸쳐 연속적으로 흐를 수 있다.
본원에서 사용되는 바와 같은 증착 가스 또는 공정 가스는 단일 가스, 다중 가스들, 플라즈마를 함유한 가스, 가스(들) 및/또는 플라즈마(들)의 조합을 지칭한다. 증착 가스는 증기 증착 공정을 위한 적어도 하나의 반응성 화합물을 함유할 수 있다. 반응성 화합물들은 증기 증착 공정 동안에 가스, 플라즈마, 증기의 상태일 수 있다. 또한, 공정은 퍼지 가스 또는 운반 가스를 함유할 수 있고, 반응성 화합물을 함유하지 않을 수 있다.
본원에서 사용되는 "기판 표면"은 임의의 기판 또는 제작 공정 동안에 그 위에 필름 가공이 수행되는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 그 위에 가공이 수행될 수 있는 기판 표면은 적용에 따라, 규소, 규소 옥사이드, 스트레인드 규소, 규소-온-절연체 (SOI), 탄소 도핑된 규소 옥사이드들, 규소 니트라이드, 도핑된 규소, 게르마늄, 갈륨 아르세나이드, 유리, 사파이어, 및 임의의 다른 물질들, 예를 들어 금속들, 금속 니트라이드들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판 표면 상의 배리어 층(barrier layer)들, 금속들 또는 금속 니트라이드들은 티탄, 티탄 니트라이드, 텅스텐 니트라이드, 탄탈 및 탄탈 니트라이드, 알루미늄, 구리, 또는 장치 제작을 위해 유용한 임의의 다른 전도체 또는 전도성 또는 비-전도성 배리어 층을 포함한다. 기판들은 다양한 치수, 예를 들어 200 mm 또는 300 mm 직경의 웨이퍼들, 뿐만 아니라 직사각형 또는 정사각형 판유리(pane)들을 가질 수 있다. 본 발명의 구체예들이 유용할 수 있는 기판들은 반도체 웨이퍼들, 예를 들어 결정상 규소 (예를 들어, Si<100> 또는 Si<111>), 또는 규소 옥사이드, 스트레인드 규소, 규소 게르마늄, 도핑된 또는 비도핑된 폴리규소, 도핑된 또는 비도핑된 규소 웨이퍼들, III-V 물질들, 예를 들어 GaAs, GaN, InP, 등 및 패턴화된 또는 비-패턴화된 웨이퍼들을 포함하지만, 이로 제한되지 않는다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 하이드록실화, 어닐링 및/또는 베이킹하기 위해 전처리 공정에 노출될 수 있다.
일부 구체예들에서, 하나 이상의 층들은 플라즈마 강화 원자층 증착(PEALD) 공정 동안에 형성될 수 있다. 일부 공정들에서, 플라즈마의 사용은 종들을 표면 반응들이 유리하고 예상되는 여기된 상태로 촉진시키기 위해 충분한 에너지를 제공한다. 공정에 플라즈마를 도입하는 것은 연속적이거나 펄스화될 수 있다. 일부 구체예들에서, 전구체들 (또는 반응성 가스들) 및 플라즈마의 연속적인 펄스들은 층을 처리하기 위해 사용된다. 일부 구체예들에서, 제제들은 가까이에서 (즉, 가공 구역 내에서) 또는 원거리에서 (즉, 가공 구역 외측에서) 이온화될 수 있다. 일부 구체예들에서, 원거리 이온화(remote ionization)는 이온들 또는 다른 에너지 또는 발광 종들이 증착 필름과 직접 접촉하지 않도록 증착 챔버의 업스트림에서 일어날 수 있다. 일부 PEALD 공정들에서, 플라즈마는 예를 들어 원거리 플라즈마 발생기 시스템에 의해 가공 챔버로부터 외부에서 발생된다. 플라즈마는 당업자에게 공지된 임의의 적합한 플라즈마 발생 공정 또는 기술을 통해 발생될 수 있다. 예를 들어, 플라즈마는 마이크로파 (MW) 주파수 발생기 또는 라디오 주파수 (RF) 발생기 중 하나 이상에 의해 발생될 수 있다. 플라즈마의 주파수는 사용되는 특정 반응 종들에 따라 조정될 수 있다. 적합한 주파수들은 2 MHz, 13.56 MHz, 40 MHz, 60 MHz 및 100 MHz를 포함하지만, 이로 제한되지 않는다. 플라즈마들이 본원에 기술된 증착 공정들 동안에 사용될 수 있지만, 플라즈마들이 요구되지 않을 수 있다는 것이 주지되어야 한다. 실제로, 다른 구체예들은 플라즈마 없이 매우 온화한 조건들 하에서의 증착 공정들에 관한 것이다.
하나 이상의 구체예들에 따르면, 기판은 층을 형성하기 전 및/또는 후에 가공된다. 이러한 가공은 동일한 챔버에서 또는 하나 이상의 별도의 가공 챔버들에서 수행될 수 있다. 일부 구체예들에서, 기판은 제1 챔버에서 추가 가공을 위한 별도의 제2 챔버로 이동된다. 기판은 제1 챔버에서 별도의 가공 챔버로 바로 이동될 수 있거나, 이는 제1 챔버에서 하나 이상의 이송 챔버들로 이동될 수 있고, 이후에 요망되는 별도의 가공 챔버로 이동될 수 있다. 이에 따라, 가공 장비는 이송 스테이션(transfer station)과 소통하는 여러 챔버들을 포함할 수 있다. 이러한 부류의 장비는 "클러스터 툴(cluster tool)" 또는 "클러스터화된 시스템(clustered system)" 등으로서 지칭될 수 있다.
일반적으로, 클러스터 툴은 기판 중심-설정(center-finding) 및 지향(orientation), 탈기, 어닐링, 증착 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 여러 챔버들을 포함하는 모듈형 시스템이다. 하나 이상의 구체예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 가공 챔버들과 로드 로크 챔버(load lock chamber)들 사이에 기판들을 왕복시킬 수 있는 로보트(robot)를 하우징할 수 있다. 이송 챔버는 통상적으로 진공 조건으로 유지되고, 기판들을 하나의 챔버에서 다른 챔버로 및/또는 클러스터 툴의 전단부에 정위된 로드 로크 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 발명을 위해 구성될 수 있는 두 개의 널리 공지된 클러스터 툴들에는 Centura® 및 Endura®가 있는데, 이들 둘 모두는 Applied Materials, Inc. (Santa Clara, Calif)로부터 입수 가능한 것이다. 하나의 이러한 단계별-진공 기판 가공 장비의 세부사항은 미국특허번호 제5,186,718호 (발명의 명칭: "Staged-Vacuum Wafer Processing Apparatus and Method," 출원인: Tepman et al., 1993년 2월 16일에 발표됨)에 기재되어 있다. 그러나, 챔버들의 정확한 배열 및 조합은 본원에 기술된 공정의 특정 단계들을 수행할 목적을 위하여 변경될 수 있다. 사용될 수 있는 다른 가공 챔버들은 사이클리칼 층 증착(cyclical layer deposition; CLD), 원자층 증착(ALD), 화학적 증기 증착(CVD), 물리적 증기 증착(PVD), 에치, 사전-세정, 화학적 세정, 열처리, 예를 들어 RTP, 플라즈마 니트라이드화, 탈기, 배향, 하이드록실화 및 다른 기판 공정들을 포함하지만, 이로 제한되지 않는다. 클러스터 툴 상의 챔버에서 공정들을 수행함으로써, 대기 불순물들로의 기판의 표면 오염은 후속 필름을 증착하기 전에 산화 없이 방지될 수 있다.
하나 이상의 구체예들에 따르면, 기판은 진공 또는 "로드 로크(load lock)" 조건 하에서 연속적이고, 하나의 챔버에서 다음 챔버로 이동될 때에 주변 공기에 노출되지 않는다. 이에 따라, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pumped down)"된다. 불활성 가스들은 가공 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 구체예들에서, 불활성 가스는 기판의 표면 상에 규소 층을 형성시킨 후에 반응물들 중 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 이상의 구체예들에 따르면, 퍼지 가스는 반응물들이 증착 챔버에서 이송 챔버 및/또는 추가 가공 챔버로 이동하는 것을 방지하기 위하여 증착 챔버의 배출구에서 주입된다. 이에 따라, 불활성 가스의 흐름은 챔버의 배출구에서 커튼(curtain)을 형성시킨다.
기판은 단일 기판 증착 챔버들에서 처리될 수 있으며, 여기서, 단일 기판은 다른 기판이 처리되기 전에 로딩되고, 처리되고, 언로딩(unload)된다. 기판은 또한, 컨베이어 시스템과 같이 연속 방식으로 처리될 수 있으며, 여기에서 여러 기판이 챔버의 제1 부분으로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 관련된 컨베이어 시스템의 형상은 직선 경로 또는 구부러진 경로를 형성할 수 있다. 추가적으로, 가공 챔버는 카로우젤(carousel)일 수 있는데, 여기서 여러 기판들은 중심축 둘레로 이동되고 카로우젤 경로 전반에 걸쳐 증착, 에치, 어닐링, 세정 등의 공정들에 노출된다.
가공 동안에, 기판은 가열되거나 냉각될 수 있다. 이러한 가열 또는 냉각은 기판 지지체의 온도를 변경시키고 가열되거나 냉각된 가스들을 기판 표면으로 흐르게 하는 것을 포함하지만 이로 제한되지 않는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 구체예들에서, 기판 지지체는 기판 온도를 유도적으로 변경시키기 위해 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 구체예들에서, 사용되는 가스들 (반응성 가스들 또는 불활성 가스들 중 어느 하나)은 기판 온도를 국부적으로 변경시키기 위해 가열되거나 냉각된다. 일부 구체예들에서, 가열기/냉각기는 기판 온도를 대류적으로 변경시키기 위해 챔버 내에 기판 표면에 인접하게 정위된다.
기판은 또한, 가공 동안에 정지하거나 회전할 수 있다. 회전하는 기판은 연속적으로 또는 별개의 단계들에서 회전될 수 있다. 예를 들어, 기판은 전체 공정 전반에 걸쳐 회전될 수 있거나, 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출 사이에 적은 정도로 회전될 수 있다. 가공 동안에 기판을 (연속적으로 또는 단계별 중 어느 하나로) 회전시키는 것은 예를 들어 가스 흐름 기하학적 형태의 국부적 변동성의 효과를 최소화함으로써 보다 균일한 증착 또는 에치를 형성시키는데 도움을 줄 수 있다.
상술된 공정들에 의해 제공된 필름들의 하나 이상의 구체예들은 조정 가능한 N-금속 필름들로서 적합하다. 필름들의 일 함수들은 쿼터 갭(quarter gap)에서 밴드 에지(band edge)로 조정 가능하다. 일 함수는 필름의 두께를 조절함으로써, 또는 전기음성 종들의 농도를 증가/감소시킴으로써 조정될 수 있다. 일 함수 조정은 전기음성 종들 (즉, B, C, N, O, Cl, F) 또는 규소로 도핑시킴으로써 달성될 수 있다. 당해 분야에 공지된 임의의 적합한 방법들은 도핑을 달성하기 위해 사용될 수 있다. 낮은 저항률을 위해 이용 가능한 부피가 제한되기 때문에, 보다 낮은 저항률의 일 함수 물질들이 요망된다. 하나 이상의 구체예들에서, 제공된 N-금속들은 보다 낮은 저항률을 가능하게 하며, 이에 따라, 보다 얇은 층들을 사용할 수 있게 한다. 캡 층(cap layer)들의 두께가 또한 중요할 수 있다. 통상적인 캡 층들은 중간갭(midgap)과 PMOS 밴드 에지 사이의 일 함수를 갖는다. 하나 이상의 구체예들에서, 캡 층들은 TaN, TiN 및 TiN 중 하나 이상을 포함하는 콘포멀한 층(conformal layer)들이고, Si, Al, C, O 또는 W로 도핑될 수 있다. 하나 이상의 구체예들에서, 캡 층들은 WN, WCN, W 및 Co 중 하나 이상을 포함하는 콘포멀한 층들이다. 일부 구체예들에서, 일 함수 물질은 약 15, 20, 25, 또는 30 Å 내지 약 35, 40 또는 45 Å 범위의 두께를 갖는다.
본 명세서 전반에 걸쳐 "하나의 구체예," "특정 구체예들," 하나 이상의 구체예들" 또는 "구체예"에 대한 언급은 구체예와 관련하여 기술된 특정 특성, 구조, 물질, 또는 특징이 본 발명의 적어도 하나의 구체예들에 포함됨을 의미한다. 이에 따라, 본 명세서 전반에 걸쳐 다양한 위치에서 "하나 이상의 구체예들에서," "특정 구체예들에서," "하나의 구체예에서" 또는 "구체예에서"와 같은 구들의 기술(appearance)들은 반드시 본 발명의 동일한 구체예를 지칭하는 것은 아니다. 또한, 특정의 특성들, 구조들, 물질들, 또는 특징들은 하나 이상의 구체예들에서 임의의 적합한 방식으로 조합될 수 있다.
본원에서 본 발명이 특정의 구체예들을 참조로 하여 기술되었지만, 이러한 구체예들이 단지 본 발명의 원리들 및 적용들을 예시하는 것으로 이해되어야 한다. 다양한 개질예들 및 변형예들이 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 장비에 대해 이루어질 수 있다는 것이 당업자에게는 명백할 것이다. 이에 따라, 본 발명이 첨부된 특허청구범위 및 이들의 균등물 내에 있는 개질예들 및 변형예들을 포함하는 것으로 의도된다.

Claims (15)

  1. 가공 챔버에서 기판 표면을 금속 할라이드를 포함하는 금속 할라이드 전구체에 노출시켜 기판 표면에 금속 할라이드를 제공하고;
    기판 표면에서 금속 할라이드가 남겨진 가공 챔버로부터 과량의 금속 할라이드를 퍼징하고;
    기판 표면에서 금속 할라이드를 알킬 알루미늄 전구체 및 알란 전구체에 노출시켜 금속 알루미늄 합금을 포함하는 N-금속 필름을 제공하는 것을 포함하며,
    알킬 알루미늄 전구체는 트리메틸 알루미늄, 트리에틸 알루미늄, 디메틸알루미늄 하이드라이드, 디에틸하이드라이도알루미늄, 메틸디하이드로알루미늄, C1-C3 모노알킬 알루미늄 하이드라이드 또는 C1-C3 디알킬 알루미늄 하이드라이드들 중 하나 이상을 포함하고, 알란 전구체는 아민-알란 및 안정화 아민(stabilizing amine)을 포함하고, 안정화 아민이 디메틸사이클로헥실아민 또는 디사이클로메틸헥실아민 중 하나 이상으로부터 선택되는,
    필름을 증착시키는 방법.
  2. 제1항에 있어서, 알루미늄이 원소 함량으로 20% 초과의 양으로 존재하는 방법.
  3. 제2항에 있어서, 탄소가 원소 함량으로 30% 미만의 양으로 존재하는 방법.
  4. 제1항에 있어서, 탄소에 대한 금속의 비율이 원소 함량으로 50% 미만인 방법.
  5. 제1항에 있어서, 알킬 알루미늄 전구체에 대한 노출이 알란 전구체에 대한 노출 이전에 일어나는 방법.
  6. 제1항에 있어서, 알킬 알루미늄 전구체에 대한 노출이 알란 전구체에 대한 노출 후에 일어나는 방법.
  7. 제1항에 있어서, 금속이 Ti, Ta 및 Hf 중 하나 이상으로부터 선택된 방법.
  8. 제1항에 있어서, 기판 표면의 온도가 200 내지 400℃인 방법.
  9. 제5항에 있어서, 알킬 알루미늄에 대한 기판 표면의 노출이 알란 전구체에 대한 기판 표면의 노출과 일부 또는 전부 겹쳐지는 방법.
  10. 제5항에 있어서, 알란 전구체를 퍼징하는 것을 추가로 포함하는 방법.
  11. 제5항에 있어서, 기판 표면을 아민에 노출시키는 것을 추가로 포함하며, 기판 표면이 알킬 알루미늄 및/또는 알란 전구체에 노출되는 동안에 기판 표면이 아민에 노출되는 방법.
  12. 제5항에 있어서, N-금속 필름을 합금제(alloying agent)로 액침시키는 것을 추가로 포함하며, 합금제가 SiH4, GeH4, 트리메틸갈륨, 및 B2H6 중 하나 이상을 포함하는 방법.
  13. 제5항에 있어서, N-금속 필름이 20% 미만의 탄소를 함유하는 방법.
  14. 제5항에 있어서, 기판을 알란 전구체에 노출시키는 동안에, 기판 표면을 디메틸에틸아민, 디메틸사이클로헥실아민 또는 피롤리딘 알란 중 하나 이상을 포함하는 제4 전구체에 노출시키는 것을 추가로 포함하는 방법.
  15. 제14항에 있어서, 제4 전구체가 증기 전구체인 방법.
KR1020157013242A 2012-10-23 2013-10-23 높은 알루미늄 함량을 갖는 알루미늄 합금을 포함하는 필름의 증착 KR102197565B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261717366P 2012-10-23 2012-10-23
US61/717,366 2012-10-23
US14/058,406 2013-10-21
US14/058,406 US9683287B2 (en) 2012-10-23 2013-10-21 Deposition of films comprising aluminum alloys with high aluminum content
PCT/US2013/066342 WO2014066482A1 (en) 2012-10-23 2013-10-23 Deposition of films comprising aluminum alloys with high aluminum content

Publications (2)

Publication Number Publication Date
KR20150076213A KR20150076213A (ko) 2015-07-06
KR102197565B1 true KR102197565B1 (ko) 2020-12-31

Family

ID=50485509

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157013242A KR102197565B1 (ko) 2012-10-23 2013-10-23 높은 알루미늄 함량을 갖는 알루미늄 합금을 포함하는 필름의 증착

Country Status (5)

Country Link
US (1) US9683287B2 (ko)
KR (1) KR102197565B1 (ko)
CN (1) CN104718314B (ko)
TW (2) TWI628305B (ko)
WO (1) WO2014066482A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3480337A4 (en) * 2017-06-12 2020-04-29 ULVAC, Inc. THIN FILM FORMING PROCESS
US10529815B2 (en) * 2017-10-31 2020-01-07 International Business Machines Corporation Conformal replacement gate electrode for short channel devices
TWI827645B (zh) * 2018-08-23 2024-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理設備及方法
US11289578B2 (en) 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
WO2023031951A1 (en) * 2021-08-30 2023-03-09 Prerna Goradia Antimicrobial nanolaminates using vapor deposited methods such as atomic layer deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090045514A1 (en) * 2007-08-15 2009-02-19 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US20110073832A1 (en) * 2009-09-29 2011-03-31 Hyun-Seok Lim Phase-change memory device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6338880B1 (en) 1998-09-04 2002-01-15 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound
KR100304714B1 (ko) * 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
CN100576474C (zh) 2004-07-20 2009-12-30 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
KR100867633B1 (ko) * 2007-02-13 2008-11-10 삼성전자주식회사 티타늄 알루미늄 질화막의 형성 방법 및 이를 이용한상변화 메모리 소자의 형성 방법
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090045514A1 (en) * 2007-08-15 2009-02-19 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US20110073832A1 (en) * 2009-09-29 2011-03-31 Hyun-Seok Lim Phase-change memory device

Also Published As

Publication number Publication date
US9683287B2 (en) 2017-06-20
CN104718314A (zh) 2015-06-17
TW201420799A (zh) 2014-06-01
TW201814080A (zh) 2018-04-16
KR20150076213A (ko) 2015-07-06
CN104718314B (zh) 2018-12-11
WO2014066482A1 (en) 2014-05-01
TWI628305B (zh) 2018-07-01
US20140112824A1 (en) 2014-04-24

Similar Documents

Publication Publication Date Title
US9145612B2 (en) Deposition of N-metal films comprising aluminum alloys
KR102298038B1 (ko) 금속 합금 막을 증착하는 방법들
KR101918565B1 (ko) 알란-기반 전구체들을 사용한 금속 막들의 증착
KR102197565B1 (ko) 높은 알루미늄 함량을 갖는 알루미늄 합금을 포함하는 필름의 증착
US9005704B2 (en) Methods for depositing films comprising cobalt and cobalt nitrides
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
JP2016508497A (ja) マンガン含有化合物、その合成及びマンガン含有膜の堆積へのその使用
US9721787B2 (en) Film deposition using tantalum precursors
TWI559381B (zh) 金屬合金薄膜的原子層沉積
US9269584B2 (en) N-metal film deposition with initiation layer
TWI589720B (zh) 包含鋁合金之n-金屬薄膜之沉積
CN117425746A (zh) 降低沉积速率的方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right