JP2005175466A - 反応器表面から物質を除去するための方法、装置及び混合物 - Google Patents

反応器表面から物質を除去するための方法、装置及び混合物 Download PDF

Info

Publication number
JP2005175466A
JP2005175466A JP2004342118A JP2004342118A JP2005175466A JP 2005175466 A JP2005175466 A JP 2005175466A JP 2004342118 A JP2004342118 A JP 2004342118A JP 2004342118 A JP2004342118 A JP 2004342118A JP 2005175466 A JP2005175466 A JP 2005175466A
Authority
JP
Japan
Prior art keywords
group
transition metal
nitrogen
compounds
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004342118A
Other languages
English (en)
Inventor
Bing Ji
ビン ジ
Stephen Andrew Motika
スティーブン アンドリュー モティカ
Ronald Martin Pearlstein
ロナルド マーティン パールスタイン
Eugene Joseph Karwacki Jr
ジョセフ カーワッキ,ジュニア. ユージン
Dingjun Wu
ディンジュン ウー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2005175466A publication Critical patent/JP2005175466A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Optics & Photonics (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

【課題】エッチング及び/又はクリーニング用途向けの基材から物質を除去するための方法を提供する。
【解決手段】一つの態様において、基材30から二酸化ケイ素よりも誘電率の大きい物質40を除去するための方法であって、当該物質40を、ハロゲン含有化合物、ホウ素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、炭素含有化合物、クロロシラン、ヒドロクロロシラン、又はオルガノクロロシランからなる群からの少なくとも1種のものを含む反応剤20と反応させて揮発性生成物70を生成し、そしてこの揮発性生成物70を基材30から除去し、それにより基材30から物質40を除去する。
【選択図】図1a

Description

半導体集積回路(IC)の製造では、トランジスタゲートのための絶縁体として、二酸化ケイ素(SiO2)、窒化ケイ素(Si34)、及び酸窒化ケイ素(SiON)などのような誘電体材料が広く使用されている。このような絶縁体はしばしば、ゲート絶縁膜と呼ばれる。ICデバイスの形状寸法が小さくなるにつれ、ゲート絶縁膜層は徐々に薄くなってきた。ゲート絶縁膜層が数ナノメートル厚さに近づくと、通常のSiO2、Si34、及びSiON材料は電気的な絶縁破壊を被り、もはや絶縁の用をなさない。非常に小さい厚さ(≦10nm)で十分な絶縁破壊電圧を保持するためには、高誘電率材料をゲート絶縁層をして使用することができる。ここで使用する「高誘電率材料」あるいは「高k材料」という用語は、誘電率が約4.1より大きい、又は二酸化ケイ素の誘電率より大きい材料をいうものである。更に、高k材料は、半導体メモリチップ製造用のディープトレンチキャパシタにおけるバリヤ層として使用することもできる。IC産業では多くの高k材料が実験されている。最近の、そして最も有望な高k材料は、Al23、HfO2、ZrO2、及びそれらの混合物等の、金属酸化物である。場合によっては、これらの金属酸化物及び金属ケイ酸塩の高k材料に窒素を取り入れて(例えばHfSiON又はAlSiON)、誘電率を向上させそして高k材料の結晶化を抑制することがある。例えば、HfO2などの高k材料の結晶化は大きな漏れ電流とデバイスの故障の原因となる。従って、窒素の取り入れはデバイスの信頼性を劇的に向上させることができる。このほかの場合には、上述の材料の2種以上のものの積層構造体を高k絶縁膜層として配置する。例えば、Al23とこれに続くHfO2の積層構造体をディープトレンチキャパシタにおけるバリヤ層として使用している。
Al23、HfO2、ZrO2などの高k材料は非常に安定であり、且つほとんどのエッチング反応に耐え、このことからそれらはエッチ停止層として、またほかの材料のプラズマエッチングにおける硬質マスク層として使用されるようになっている。例えば、K. K. Shin et al., “Hafnium dioxide etch−stop layer for phase−shifting masks”, J. Vac. Sci. Tecnnol. B 11(6), pp2130−2131 (1993)、J. A. Britten, et al., “Etch−stop characteristics of Sc23 and HfO2 films for multilayer dielectric grating applications”, J. Vac. Sci. Technol. A 14(5), pp2973−2975 (1996)、J. Hong et al., “Comparison of Cl2 and F2 based chemistries for the inductively coupled plasma etching of NiMnSb thin films”, J. Vac. Sci. Technol. A 17(4), pp1326−1330 (1999)、Visokayらの米国特許第5972722号明細書、Moiseらの米国特許第6211035号明細書、Moiseらの米国特許出願公開第2001/0055852号明細書、及びMoiseらのヨーロッパ特許出願公開第1001459号明細書を参照されたい。
これらの高k材料は一般に、成長室内で反応して化学気相成長(CVD)プロセスで膜を形成する化学前駆物質から成長させられる。場合によっては、これらの高k材料は、制御されたほぼ単原子層で膜を堆積させる原子層堆積(ALD)により、半導体基材(ウエハ)上に堆積させられる。ALDを実施するための装置と方法は、例えば、Gadgilらの米国特許第5879459号明細書、Doeringらの米国特許第6174377号明細書、Doeringらの米国特許出願公開第2001/0011526号明細書、Doeringらの米国特許第6387185号明細書、Doeringらの国際公開第00/40772号パンフレット、Gadgilらの国際公開第00/79019号パンフレットに開示されている。Genus社に譲渡されたこの一群の特許は、「その場でのプラズマ洗浄が保守クリーニング間の時間を非常に長くするのを可能にすることを教示している。(例えば、米国特許第6387185号明細書の7欄27〜28行参照。)しかし、ALDチャンバをプラズマクリーニングするためのいずれの方法の詳細も、上記の一群の文献には示されなかった。
原子層堆積プロセスを向上させるためにプラズマ源が使用されている(PE−ALD)。例えば、Pomaredeらは、国際公開第02/43115号パンフレットにおいて、プラズマ源を使用して、基材表面を予備処理し活性化させてその後のALDを促進する励起反応種を発生させることを教示している。Nguyenらは、国際公開第02/43114号パンフレットにおいて、前駆化学物質の流れを交互にする代わりに、パルスのプラズマを利用してALDプロセスを行うことを教示している。この場合もやはり、これらの刊行物は、ウエハを処理後にALD残留物をクリーニングするいかなる方法も開示してはいない。
上述の高k材料は良好なゲート絶縁体ではあるが、パターンの転写のためにこれらの膜をドライエッチングすることは非常に困難である。成長プロセスは基材(一般にはシリコンウエハ)上に高k材料を好ましく生じさせるとは言え、これらの膜を形成する反応は成長チャンバ内のその他の露出表面でも非生産的に起こる。被着残留物の蓄積は、粒子の落下、被着の均一性の悪化、そして処理のばらつきを招くことになる。これらの影響で、ウエハに欠陥が生じ、その後デバイスが故障するすることになりかねない。従って、全てのCVDチャンバ、そして特に全てのALDチャンバは、定期的にクリーニングしなくてはならない。
化学的に極端に不活性であるために、これらの高k材料をドライエッチングする試みはほとんど見られない。J. W. Leeらは、“Electron cyclotronresonance plasma etching of oxides and SrS and ZnS−based electroluminescent materials for flat panel displays”, J. Vac. Sci. Technol. A 16(3), pp1944−1948 (1998)において、種々の金属酸化物と硫化物をエッチングするためのいくつかの化学物質を報告した。著者らは、非常に強力なプラズマ条件(800Wのマイクロ波源パワー、450WまでのRFチャックバイアスパワー、及び1.5mTorrのチャンバー圧力)を使用した。このような処理条件の結果は、非常に高いチャックバイアス電圧(最高535Vまで)である。高いチャックバイアス電圧は、活発なイオンスパッタリングとスパッタに誘起されるエッチングを大きく増進することができる。著者らは、これらの極端なプラズマ条件下でCl2/Ar、BCl3/Ar、及びSF6/Ar混合物を使って、種々の材料をエッチングした。Al23が最も遅いエッチング速度を示した。かれらの実験のほとんどで、Al23のエッチング速度は、同一条件下でのZnSのエッチング速度の20%未満であった。著者らはまた、「ほとんど同様の傾向がBCl3/Arの放電で見られ、絶対的な速度はCl2/Arの場合のそれよりおよそ20%低かった」ことにも言及した。著者らの方法はフラットパネルディスプレイデバイスの異方性エッチングのために使用することができるとは言え、接地したチャンバ表面では高パワーのプラズマスパッタリングを行うことができない。従って、著者らの方法は、ALDチャンバ内の堆積残留物をクリーニングするのに拡張することはできない。
米国特許第6238582号明細書においてWilliamsらは、Al23などの薄膜ヘッド材料をエッチングするための反応性イオンビームエッチング(RIBE)方法を教示している。この特許権者らは、CHF3/Arプラズマをイオン源として使用した。平行の反応性イオンビームがウエハ基材に突き当たって薄膜材料をエッチングする。このような平行イオンビームは、ALDチャンバから堆積残留物をクリーニングするのに使用することができない。
米国特許第5298075号明細書と同第5288662号明細書においてLagendijkらは、「シリコン又はチューブを700℃より高い温度にさらしながら酸素と一般式CxxClx(式中のxは2、3、又は4である)を有するクロロハイドロカーボンを含有するキャリヤガスをシリコン又はチューブの上に流すことにより……シリコンを熱酸化し又は炉のチューブをクリーニングするための方法……。クロロハイドロカーボンは、所定温度で容易に且つ完全に酸化するように選ばれる」(要約参照)ことを教示している。酸化又は拡散炉内でシリコンを酸化してSiO2にすることと金属汚染物質(例えばNa及びFeなど)を除くことは、高k材料をエッチング・クリーニングすることとは完全に異なる。
Al23のエッチング速度に影響を及ぼすために特定の化合物をプラズマに添加することが、いろいろな参考文献で検討されている。参考文献の、W. G. M. Van Den Hoek, “The Etch Mechanism for Al2O3 in Fluorine and Chlorine Based RF Dry Etch Plasmas”, Met. Res. Soc. Symp. Proc. Vol. 68 (1986), pp71−78と、Heiman, et al., “High Rate Reactive Ion Etching of Al23 and Si”, J. Vac. Sci. Tech., 17(3), May/June 1980, pp731−34には、それぞれフッ素系ガス又は塩素系ガスを、Arプラズマに加えてAl23のエッチング速度を上昇させることが開示されている。しかし、これらの研究は全て、反応性イオンエッチング(RIE)条件下でのものであった。イオン衝撃/スパッタに誘起される反応は、化学エッチング反応よりも遙かに大きな役割を演じる。ほかの従来技術と同じように、そのような極端なRIE条件は接地したチャンバ表面をクリーニングするのには適用されない。
高k誘電体残留物を除去するための方法を開示する技術がないことから、ALD反応器は一般に、チャンバと下流の機器(例えばポンプヘッダーや排気マニホールド)の内表面から堆積残留物をなくしてきれいにするのに機械的手段(例えばこすったり、あるいはブラスト処理したりすること)によりALD反応器をクリーニングしている。しかし、機械的クリーニング方法は時間がかかり、多くの労働力を要し、そしてクリーニングする表面に損傷を与える。
フッ素含有プラズマに基づくプロセス(すなわちドライクリーニング)は、化学気相成長(CVD)反応器の内表面からケイ素化合物(例えば多結晶性シリコン、SiO2、SiON、及びSi34など)とタングステンの残留物を除去するのに広く用いられている。この場合、フッ素が上述の残留物と反応して、クリーニング処理の間に反応器からポンプで排出することができる、例えばSiF4やWF6などの揮発性の種を生じさせる。しかし、フッ素を基にする化学反応だけでは先に検討した高k誘電材料を除去するのに不十分である。例えば、J. Hong et al., J. Vac. Sci. Technol. A, Vol. 17, pp1326−1330, 1999を参照されたい。この参考文献では、著者らはAl23で被覆したウエハをNF3/Arに基づく誘導結合プラズマに暴露して、「高ソースパワーで利用できる原子のFのより高い濃度がより厚いフッ素化表面に寄与し、エッチングではなく正味の被着をもたらすことになる」ということを見いだした。高k材料の場合、生成する金属フッ化物の生成物は不揮発性であり、従って反応器から除去するのが困難である。
ここで取り上げている全ての参考文献は、参照することによりそれらの全体がここに組み入れられる。
米国特許第5972722号明細書 米国特許第6211035号明細書 米国特許出願公開第2001/0055852号明細書 ヨーロッパ特許出願公開第1001459号明細書 米国特許第5879459号明細書 米国特許第6174377号明細書 米国特許出願公開第2001/0011526号明細書 米国特許第6387185号明細書 国際公開第00/40772号パンフレット 国際公開第00/79019号パンフレット 国際公開第02/43115号パンフレット 国際公開第02/43114号パンフレット 米国特許第6238582号明細書 米国特許第5298075号明細書 米国特許第5288662号明細書 K. K. Shin et al., Hafnium dioxide etch−stop layer for phase−shifting masks, J. Vac. Sci. Tecnnol. B 11(6), pp2130−2131 (1993) J. A. Britten, et al., Etch−stop characteristics of Sc2O3 and HfO2 films for multilayer dielectric grating applications, J. Vac. Sci. Technol. A 14(5), pp2973−2975 (1996) J. Hong et al., Comparison of Cl2 and F2 based chemistries for the inductively coupled plasma etching of NiMnSb thin films, J. Vac. Sci. Technol. A 17(4), pp1326−1330 (1999) J. W. Lee et al., Electron cyclotronresonance plasma etching of oxides and SrS and ZnS−based electroluminescent materials for flat panel displays, J. Vac. Sci. Technol. A 16(3), pp1944−1948 (1998) W. G. M. Van Den Hoek, The Etch Mechanism for Al2O3 in Fluorine and Chlorine Based RF Dry Etch Plasmas, Met. Res. Soc. Symp. Proc. Vol. 68 (1986), pp71−78 Heiman, et al., High Rate Reactive Ion Etching of Al2O3 and Si, J. Vac. Sci. Tech., 17(3), May/June 1980, pp731−34
このように、Al23、HfO2、ZrO2、HfSixy、ZrSixy及びそれらの混合物などのような高K材料の残留物、HfO2とAl23などのような高K材料を含有している積層体の残留物(HfAlOとも呼ばれる)、そしてALDチャンバからの、HfON、AlON、及びHfONとAlONとの積層材料(HfAlO)などのような窒素を含有している高k材料からの残留物を、チャンバに通気口を設けたりチャンバを開放したりすることなく、化学的にドライクリーニングする方法が、緊急に必要とされている。効果的な化学的ドライクリーニング方法は、ALDに基づく被着プロセスに対して、生産性を有意に上昇させ、そして所有者の経費を低下させる。
ここには、基材から物質を除去するための方法と、これを行うための混合物が開示される。一つの側面においては、反応器表面から物質を除去してきれいにするための方法であって、当該反応器表面を有する反応器を準備し、ここにおいて、(a)当該反応器表面は当該物質の膜で少なくとも部分的に被覆されており、(b)当該物質は、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩からなる群より選ばれる少なくとも1種のもの、あるいは、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩、窒素を含有する13族金属酸化物、又は窒素を含有する13族金属ケイ酸塩からなる群より選ばれる少なくとも1つの層を含む積層体であり、そして(c)当該物質は二酸化ケイ素の誘電率よりも大きい誘電率を有すること、当該物質を反応剤と反応させて揮発性生成物を作り、ここにおいて、当該反応剤は、ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群より選ばれる少なくとも1種のものを含むこと、そして当該反応器から当該揮発性生成物を除去して、それにより当該表面から当該物質を除去すること、を含む方法が提供される。
もう一つの側面においては、反応チャンバの表面から物質を除去するための方法であって、表面の少なくとも一部が当該物質で少なくとも部分的に被覆され、そして当該物質が4.1以上の誘電率を有し、且つ、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩からなる群より選ばれる少なくとも1種のもの、あるいは、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩からなる群のうちの少なくとも1層を含む積層体である反応チャンバを準備すること、反応剤を当該反応チャンバへ導入し、ここにおいて、当該反応剤は、ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群より選ばれる少なくとも1種のものを含むこと、当該物質を当該反応剤と反応させ揮発性生成物を生成するのに十分な1以上のエネルギー源に当該反応剤を暴露すること、そして当該揮発性生成物を当該反応チャンバから除去すること、を含む方法が提供される。
本発明のなおもう一つの側面においては、反応器の少なくとも1つの表面から物質を除去するための装置であって、ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群より選ばれる少なくとも1種の反応剤、及び当該少なくとも1種の反応剤が被着した非反応性支持材、を含む装置が提供される。
本発明の更なる側面においては、反応器の少なくとも1つの表面から物質を除去するための混合物であって、ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群より選ばれる少なくとも1種の反応剤、及び不活性希釈剤、を含む混合物が提供される。
本発明のなお別の側面においては、基材の少なくとも1つの表面から物質を除去するための方法であって、遷移金属酸化物、遷移金属ケイ酸塩、Al23以外の13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩からなる群より選ばれる少なくとも1種のもの、あるいは、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、又は窒素を含有する遷移金属ケイ酸塩からなる群のうちの少なくとも1つの層を含む積層体である物質の膜で少なくとも部分的に被覆された基材であり、当該物質が二酸化ケイ素の誘電率より大きい誘電率を有する基材を用意すること、当該物質を、ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群より選ばれる少なくとも1種のものを含む反応剤と反応させて揮発性生成物を生成すること、そして当該基材から当該揮発性生成物を除去し、それにより当該基材から当該物質を除去すること、を含む方法が提供される。
本発明のなおもう一つの側面においては、反応器表面から物質を除去してきれいにするための方法であって、当該反応器表面を有する反応器を準備し、ここにおいて、(a)当該反応器表面は当該物質の膜で少なくとも部分的に被覆されており、(b)当該物質は、ケイ酸塩、及び酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩、あるいは、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩、窒素を含有する13族金属酸化物、又は窒素を含有する13族金属ケイ酸塩からなる群より選ばれる少なくとも1つの層を含む積層体、そして(c)当該物質は二酸化ケイ素の誘電率よりも大きい誘電率を有すること、当該物質を、少なくとも1種のフッ素含有化合物と、塩素含有化合物、臭素含有化合物、又はヨウ素含有化合物から選ばれる少なくとも1種とを含む反応剤であって、当該フッ素含有化合物が当該反応剤の量の50体積%未満である反応剤と反応させること、そして当該反応器から揮発性生成物を除去して、それにより当該表面から当該物質を除去すること、を含む方法が提供される。
本発明のこれら及びそのほかの側面は、以下の詳細な説明から明らかになろう。
本方法は、高k材料をドライエッチングするのに、及び高k材料をウエハ表面上へ被着させるのに使用される化学気相成長(CVD)チャンバ(そしてより詳しく言えばALDチャンバ)をドライエッチングするのに有用である。エッチングされる又はクリーニングされる表面から除去すべき物質を、固体の非揮発性物質から、それに被着した高k材料より揮発性が高く且つ後に、例えば反応器の真空ポンプにより、除去することができる物質に変える。このように、本発明は、物質を揮発させるために1種以上の反応剤を使用して基材から物質を除去する。ウエットエッチング及びウエットクリーニングプロセスとは違って、ドライエッチング及びドライクリーニングプロセスは、基材を液体化学物質溶液中に浸漬せず、あるいは基材を液体化学物質溶液に暴露しない。
一部の態様において、除去すべき物質は、遷移金属、遷移金属ケイ酸塩、13族金属酸化物又は13族金属ケイ酸塩(IUPAC無機化学命名法の1990年の提言に従って、13族金属はAl、Ga、In及びTlを含み、遷移金属は3〜12族を占める)であることができる。この物質は、誘電率が二酸化ケイ素のそれより大きい(すなわち約4.1より大きい)、又は5より大きく、又は少なくとも7である、高k材料でよい。好ましくは、物質は、Al23、HfO2、ZrO2、HfSixy、ZrSixy、及びそれらの混合物からなる群より選ばれる少なくとも1つのものである。当業者は、式HfSixy(及び式ZrSixy)はHfO2(ZrO2)とSiO2の混合物を表し、xは0より大きく、yは2x+2であることを理解しよう。
本発明のほかの態様では、物質は、次の物質、すなわち、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩、窒素を含有する13族金属酸化物、又は窒素を含有する13族金属ケイ酸塩、の群より選ばれる少なくとも1つのものの層を含む積層体であることができる。積層体は、好ましくは、上述の物質のうちの少なくとも1種と、そして随意に、例えば絶縁材料などのその他の物質とが交互に入れ替わる。例えば、積層体は、HfO2とAl23が交互する層から構成することができる。積層体はまた、第1の材料の所定数の層と第2の材料の所定数の層からなること、あるいは、少なくとも1つの第一の材料の外側層と少なくとも1つの第2の材料の内側層からなることができる。
本発明のなお更なる態様では、物質は、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩、窒素を含有する13族金属酸化物、又は窒素を含有する13族金属ケイ酸塩などのような、窒素含有物質であることができる。このタイプの物質の例には、HfAlONが含まれる。
前述のように、除去すべき物質を反応剤と反応させて、基材からたやすく除去できる揮発性生成物を生成する。一部の好ましい態様では、反応剤を、物質と反応し揮発性生成物を生成する例えばイオン、ラジカル、励起中性種などの活性種を生成するのに十分な1以上のエネルギー源に暴露してもよい。好適な反応剤の例には、塩化物、臭化物、又はヨウ化物化合物などのハロゲン含有化合物や、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、オルガノクロロシラン化合物、又はそれらの混合物が含まれる。ここで使用される反応剤は時には「気体(あるいは、気体の)」と記載されることもあるが、化学薬剤は、反応器へガスとして直接送給してもよく、気化した液体、昇華した固体として送給してもよく、及び/又は不活性ガスにより反応器へ移送してもよいことが理解される。
反応剤は、反応チャンバへ様々な手段により、例えば従来式のボンベ、安全な送給装置、真空送給装置、使用箇所において反応剤を作り出す固体又は液体を基にした発生器などの手段により、送給することができる。本発明の一つの態様では、少なくとも1種の反応剤を非反応性の液体又は気体の希釈剤に加え、そして除去しようとする物質を有する基材へ、スプレー又はその他の手段のように、適用することができる。反応剤は、1種以上のエネルギー源へ暴露されると、物質と反応して揮発性生成物を生成することができる。チャンバのクリーニング用といったような別の態様においては、反応剤を、反応チャンバへ導入することができる非反応性支持材へ被着させることができる。この非反応性支持材の材料は、エネルギー源の1つへの暴露前又は暴露中に反応剤と反応しないものである。一部の好ましい態様では、非反応性支持材は複数の細孔を有する。反応剤は、1以上のエネルギー源への暴露により放出されそして除去すべき物質と反応して、揮発性生成物を生成することができる。
これらの金属の塩化物(例えばAlCl3、HfCl4、ZrCl4、及びSiCl4など)はより揮発性であるので、これらの高k物質を塩化物に転化するのが好ましいことがある。この転化は、除去しようとする物質を塩素を含有する反応剤と接触させることによりなされる。塩素含有反応剤の例には、BCl3、COCl2、HCl、Cl2、ClF3、及びNFzCl3-z(この式のzは0〜2の整数)、クロロカーボン、及びクロロハイドロカーボン(例えばCxyClz(この式のxは1〜6の範囲の数であり、yは0〜13の範囲の数であり、zは1〜14の範囲の数である))が含まれる。酸素ゲッター機能をも有する塩素含有反応剤、例えばBCl3、COCl2、クロロカーボン及びクロロハイドロカーボンなどが、好適であることもあり、これは、これらの分子中の酸素ゲッター成分(B、CO、C、又はH)が高k材料から酸素を引き出し、従って金属酸化物及び金属ケイ酸塩の金属塩化物への転化を増進するからである。塩素含有及び酸素ゲッターガスのうちでは、BCl3が最も好ましいものである。反応剤としてCOCl2を使用する態様では、それを調製された形でもって供給することができ、あるいはCOとCl2のその場での反応によりそれを生成させることができる。一部の態様では、反応剤は、塩素含有ガスとフッ素含有ガス(例えばBCl3とBF3)、あるいはフッ素と塩素の両方を含有するガス、例えばClF3やNFzCl3-z(この式のzは0〜2の整数)を含むことができる。
別の態様では、1種以上の塩素含有反応剤を、1種以上の他のハロゲン含有化合物、例えば1種以上のフッ素含有反応剤、と組み合わせて、高k材料をエッチング及び除去するのを促進することができる。遷移金属のフッ化物、例えばHfF4やZrF4などは、対応する塩化物ほど揮発性ではないとは言え、少なくとも1種のフッ素含有反応剤を塩素含有反応剤に加えることが高誘電率遷移金属酸化物のエッチング速度を高めることができるということは、驚くべき且つ意外なことである。これらの態様において、気体混合物は、50体積%未満の少なくとも1種のフッ素含有反応剤、少なくとも1種の塩素含有反応剤、そして随意的に不活性ガス、を含むことができる。代表的な気体混合物は、約1体積%から50体積%未満までの少なくとも1種のフッ素含有化合物と、約50体積%から約99体積%までの少なくとも1種の塩素含有化合物を含むことができる。フッ素含有反応剤を塩素含有反応剤と一緒にして、反応器へ導入前又は導入後に混合物を供給してもよい。好適なフッ素含有反応剤の例には、NF3(三フッ化窒素)、ClF3(三フッ化塩素)、ClF(フッ化塩素)、SF6(六フッ化イオウ)、パーフルオロカーボン、例えばCF4やC26など、ハイドロフルオロカーボン、例えばCHF3やC37Hなど、オキシフルオロカーボン、例えばC48O(パーフルオロテトラヒドロフラン)など、ハイポフルオライト、例えばCF3−OF(フルオロキシトリフルオロメタン(FTM))やFO−CF2−OF(ビス−ジフルオロキシジフルオロメタン(BDM))など、フルオロペルオキシド、例えばCF3−O−O−CF3、F−O−O−Fなど、フルオロトリオキシド、例えばCF3−O−O−O−CF3など、COF2(フッ化カルボニル)、NOF、NFxCl3-x(式中のxは1〜2の整数である)、そしてF2など、が含まれる。代表的な気体混合物には、BCl3とNF3や、BCl3とF2や、BCl3とClF3や、BCl3とNFxCl3-x(式中のxは1〜2の整数である)、が含まれるが、例はこれらに限定されない。そのほかのCl、Br、又はI含有化合物、例えばここに開示した化合物のうちのいずれかなども、F含有化合物とのこの相乗効果を奏することができる。
ここで説明した反応剤のほかに、不活性の希釈ガス、例えば窒素、CO、ヘリウム、ネオン、アルゴン、クリプトン、及びキセノン等、を加えることもできる。不活性の希釈ガスは、プラズマ特性とクリーニングプロセスを変更して一部の特定の用途によりよく適合させることができる。不活性ガスの濃度は0〜99%の範囲であることができる。
本発明の方法は、基材の表面から物質をエッチングするのに有効である。従って、本発明のエッチングの態様に好適な基材には、例えば半導体ウエハなどが含まれる。図3は、反応剤としてBCl3を使用する本発明の一つの態様について酸化ハフニウム、酸化アルミニウム、及び酸化ジルコニウムの相対的なエッチング速度の比較を示している。
本発明は、CVD及び/又はALDプロセス用の反応チャンバの表面といったような基材から物質を除去してきれいにするのにも適していよう。本発明は、反応チャンバの露出表面、例えば典型的な反応チャンバの加工製品プラットホーム、接地した側壁、及び/又はシャワーヘッドといったようなものに被着した、高k物質を除去するのに特に適している。
1種以上の反応剤を、物質及び/又はホウ素含有副生物と少なくとも部分的に反応しそして揮発性種を生成するための活性種を発生させるのに十分な1以上のエネルギー源に暴露する。この暴露工程のためのエネルギー源には、α粒子、β粒子、γ線、X線、高エネルギー電子、電子線エネルギー源や、紫外線(波長範囲10〜400nm)、可視光(波長範囲400〜750nm)、赤外線(波長範囲750〜105nm)、マイクロ波(周波数>109Hz)、高周波(周波数>106Hz)エネルギーや、熱や、RF、DC、アーク又はコロナ放電や、音響、超音波又はメガソニックエネルギーや、それらを混ぜたものを含めることができるが、エネルギー源はこれらに限定されない。
一部の態様では、熱又はプラズマによる活性化及び/又は増進が、高誘電率材料のドライエッチングとドライクリーニングの有効性に有意の影響を及ぼすことができる。熱による活性化の場合は、基材を600℃まで、あるいは400℃まで、あるいは300℃まで加熱することができる。圧力範囲は、一般には10mTorr〜760Torr、あるいあは1Torr〜760Torrである。
別の態様では、反応剤を、その場で又は除去しようとする物質を入れた反応器内でプラズマにより、活性化させる。その場でのプラズマによる活性化の場合、13.56MHzのRF電源装置を用いて、少なくとも0.2W/cm2、又は少なくとも0.5W/cm2、又は少なくとも1W/cm2のRFパワー密度でプラズマを発生させることができる。13.56MHz未満のRF周波数でその場でのプラズマを作用させて、接地したALDチャンバの壁のイオンに支援されるクリーニングを促進することもできる。運転圧力は一般に、2.5mTorr〜100Torr、又は5mTorr〜50Torr、又は10mTorr〜20Torrの範囲内である。随意的に、ALDチャンバの壁のより効果的なクリーニングのために、熱とプラズマによる促進を組み合わせることもできる。
より反応性の種を発生させるために、その場でのプラズマに替えて遠隔プラズマを使用することもできる。遠隔プラズマ源は、RF源かマイクロ波源のいずれかにより発生させることができる。更に、遠隔プラズマで発生させた反応性種と高k材料との反応を、ALD反応器の構成部品を600℃まで、又は400℃まで、又は300℃までの高温に加熱することにより、活性化・増進することができる。
クリーニングプロセスのための活性化と増進のこのほかの手段も利用することができる。例えば、光子に誘起される化学反応を利用して反応性種を発生させ、エッチング・クリーニング反応を促進することができる。
下記の表は、基材から除去しようとする物質を揮発させるための種々の反応についての熱化学計算を示している。これらの表で、Keqは記載された反応についての平衡定数を表しており、そのためこの値が大きくなればなるほど、反応が進行するのに有利になる。
Figure 2005175466
Figure 2005175466
Figure 2005175466
Figure 2005175466
Figure 2005175466
Figure 2005175466
Figure 2005175466
表1〜7は、BCl3とCOCl2が高k材料のドライエッチングとクリーニングのためのエッチング剤として使用できることを示している。BCl3(三塩化ホウ素)は室温で液化ガスであり、チャンバのクリーニングのためにALD反応器へ簡単に送給することができる。COCl2(ホスゲン)は、好ましくは、外部エネルギー源(例えばプラズマ)により支援され次のように気体の一酸化炭素と塩素を反応させてホスゲンを作ることにより、エッチング又は被着反応器においてその場で供給する。
CO(g)+Cl2(g) → COCl2
ホウ素残留物に敏感である用途のような本発明のその他の態様では、クロロカーボン(CC)とハイドロクロロカーボン(HCC)を反応剤として使用することができる。これは、これらの化合物が塩素と酸素ゲッター成分(C又はH)を含有することができるからである。CC及びHCC化合物についての一般式は、CxyClzであり、この式のxは1〜6の範囲であり、yは0〜13の範囲であり、zは1〜14の範囲である。好適なCC及びHCC化合物の例には、trans−ジクロロエチレンC22Cl2(別名Trans−LC(商標))、cis−ジクロロエチレン、1,1−ジクロロエチレン、1,1,1−トリクロロエタン(C23Cl3)、又はテトラクロロエチレンC2Cl4、C44Cl4、CHCl3、及びCCl4が含まれるが、例はこれらに限定されない。一部のCCとHCC化合物は、酸素の添加なしに高k金属酸化物と反応することができる。例えば、一部の態様では、テトラクロロエチレン(C2Cl4)はAl23と次のように反応して揮発性生成物を生成することができる。
1.5C2Cl4(g)+Al23 → 2AlCl3(g)+3CO(g)
表8は、この反応が100℃より高い温度で熱力学的に有利であることを示している。
Figure 2005175466
上記の熱化学計算結果は、それらの化学反応について極端な場合を例示するものである。高k材料とBCl3との反応では、極端な場合の例えばB23などのような反応生成物のほかに、例えばオキシ塩化ホウ素(BOCl)などの中間反応生成物も生成することがある。BOClなどのような中間反応生成物は、より高い揮発性を持つことができ、従って高k材料の除去を更に増進することができる。
そのほかのCC及びHCC化合物は、炭素残留物(すす)を生成することなく塩素を放出するために酸素を添加するのが必要なことがある。例えば、trans−ジクロロエチレン(C22Cl2)(別名Trans−LC(商標))は、O2:C22Cl2モル比2:1でAl23と反応することができる。
6O2(g)+Al23+3C22Cl2(g)
=2AlCl3(g)+6CO2(g)+3H2O(g)
表9は、このような反応が0℃と1000℃の間の温度で熱力学的に有利であることを示している。
Figure 2005175466
過剰のO2は金属塩化物を転化して金属酸化物に戻すことがあるので、過剰量の酸素は上記の反応では望ましくない。過剰の酸素を防止するのにより優れた方法は、酸素の少ない条件下で反応を行うことにより炭素を一部だけ酸化してCOにすることである。例えば、1:1のO2:C22Cl2モル比で、副生成物としてのCOとAlCl3を生成する結果に至ることができる。
3C22Cl2(g)+Al23+3O2(g)
=2AlCl3(g)+6CO(g)+3H2O(g)
表10に示したように、このような部分的な酸化反応はやはり熱力学的に有利である。
Figure 2005175466
酸素の代わりに、塩素(Cl2)を加えて炭素のすすの生成を防ぐことができる。例えば、2:1のCl2:C22Cl2モル比では次の反応が可能になる。
2Cl2(g)+Al23+C22Cl2(g)
=2AlCl3(g)+H2O(g)+2CO(g)
同様に、4:1のCl2:C22Cl2モル比では次の反応が可能になる。
4Cl2(g)+Al23+C22Cl2(g)
=3.333AlCl3(g)
+H2O(g)+2CO2(g)
両方の反応とも、表11と12に示したように、熱力学的に有利である。すすの生成を制御するために塩素を使用することは、過剰量の塩素が金属酸化物の塩素化を促進するので、より望ましい。
Figure 2005175466
Figure 2005175466
上記の塩化物化合物のほかに、これらの高k材料の臭化物とヨウ化物、例えばAlBr3、AlI3、HfBr4、HfI4、ZrBr4、及びZrI4などは、それらの対応する塩化物と同様の揮発性を有する。従って、一部のブロモ及びヨード化合物をこれらの高k材料をエッチング・クリーニングするのに使用することもできる。臭素及びヨウ素イオンは塩素イオンより重く、それゆえ臭素及びヨウ素イオンは高k材料とのプラズマに支援されるエッチング・クリーニング反応を活性化させるのにより効果的なスパッタリングを提供することができる。臭素及びヨウ素原子は、塩素原子よりも表面固着係数が大きい。より大きな固着係数は、臭素及びヨウ素原子・イオンが高k材料の表面へ吸着される確率のより高いことに関係し、それゆえに臭素化・ヨウ素化反応を促進する。望ましいブロモ及びヨード化合物は、分子中に酸素ゲッター機能を含むことができる。好適な臭素及びヨウ素含有化合物の例には、三臭化ホウ素(BBr3)、三ヨウ化ホウ素(BI3)、臭化水素(HBr)、ヨウ化水素(HI)、CBr4などのブロモカーボン、trans−ジブロモエチレン(C22Br2)などのブロモハイドロカーボン、CI4などのヨードカーボン、そしてtrans−ジヨードエチレン(C222)、等が含まれる。HfO2について言えば、臭素とヨウ素の化学反応は、表13〜15に示したように、対応する塩素の化学反応よりも劇的に有利である。
Figure 2005175466
Figure 2005175466
Figure 2005175466
同様に、臭素とヨウ素の化学反応は、表16〜18に示したように、Al23及びZrO2との反応にとってもやはり熱力学的に有利である。
Figure 2005175466
Figure 2005175466
Figure 2005175466
一部の態様では、反応剤はキレート化用化合物を含むことができる。ここで使用されるキレート化用化合物とは、電子の不足する(例えばルイス酸)金属原子、例えば、限定されずに、Zr、Al、又はHfなど、と潜在的に相互作用することができる電子に富む(例えばルイス塩基)部位を少なくとも2つ含有する化合物を表す。とは言え、複数の部位が適切な金属と同時に相互作用することは求められない。また、キレート化用化合物は、塩基性部位の共役酸として反応チャンバへ送給してもよい。これらの化合物の例は、米国特許第3634477号明細書に見いだすことができる。キレート化用化合物の更なる例には、例えばクロロ酢酸、塩化オキサリル等のオキシハロカーボンが含まれ、金属酸化物及び金属塩化物と反応して揮発性副生物を生成することができるキレート化用の化合物又は薬剤であることが知られている。一部の代表的なキレート化用化合物は、式Cαβγδεを有することができ、この式のXとYはハロゲン原子F、Cl、Br、及びIのうちの一つであり、αは1〜6の範囲の数であり、βは0〜13の範囲の数であり、γ+δの合計は1〜14の範囲の数であり、εは1〜6の範囲の数である。これらの化合物の例には、ヘキサフルオロペタンジオン(CCl3C(O)CH2C(O)CCl3)(別名Hhfac)、ヘキサクロロペタンジオン(CCl3C(O)CH2C(O)CCl3)、ヘキサフルオロアセトン(CF3C(O)CF3)、及びヘキサクロロアセトン(CCl3C(O)CCl3)が含まれる。例えば、ヘキサフルオロペタンジオン(別名Hhfac)(CF3C(O)CH2C(O)CF3、又はC5226)は、幅広い種々の金属酸化物及び/又は塩化物と反応して揮発性の有機金属化合物M(hfac)x(この式のMは、例えばAl3+、Hf4+、及びZr4+等の金属イオンである)を生成することができる一般的なキレート化剤である。このようなキレート化の特性を利用して、高k材料のエッチングとチャンバのクリーニングを促進することができる。その上、これらの分子を酸素スカベンジャーとして利用して高k材料の塩素化を促進することができる。例えば、次の反応を行うことができる。
HfO2+C5226+2Cl2+O2
=HfCl4(g)+H2O(g)+3COF2(g)+2CO(g)
本発明の一部の態様では、Hhfacの塩素類似物質のヘキサクロロペタンジオン(CCl3C(O)CH2C(O)CCl3)が、酸素スカベンジャーと塩素化剤の両方であることができるので、反応剤としてより有利であることができる。これらの反応は、熱及び/又はプラズマでの活性化により支援することもできる。例えば、
522Cl6+Al23+0.5O2
=2AlCl3(g)+5CO(g)+H2O(g)
であり、そして、
2C522Cl6+3HfO2+O2
=3HfCl4(g)+10CO(g)+2H2O(g)
である。
金属塩化物の酸化を防ぐために、酸素に替えて塩素を使用することができる。
522Cl6+Al23+Cl2
=2AlCl3(g)+5CO(g)+2HCl(g)
一部の態様では、クロロシラン、ヒドロクロロシラン、及びオルガノクロロシランも、高k材料をエッチング・クリーニングするための効果的な薬剤であることができる。一つには非常に安定なSiO2副生物のために、これらの化合物は非常に効果的な酸素スカベンジャーと塩素化剤の両方であることができる。熱又はプラズマ源へ暴露すると、これらの化合物は、ホウ素残留物の汚染という潜在的な問題なしに、高k材料を揮発性塩化物に転化するのにBCl3と全く同じように有効であることができる。一部の態様では、クロロシラン、ヒドロクロロシラン、又はオルガノクロロシラン化合物は式SipClqstを有し、この式中、1≦p≦3、1≦q≦(2p+2−(s+t))、s及びtは0≦(s+t)≦(2p+1)という制約の下に任意の値を持つことができ、そしてRは炭素原子数1〜8の有機基であって、ヒドロカルビル(例えば、メチル、エチル、フェニル、p−トリル)、ハロカルビル(例えば、トリクロロメチル、トリフルオロメチル、ペンタフルオロエチル)、ハロゲン化ヒドロカルビル(例えば、クロロメチル、2,4−ジフルオロフェニル)、酸素化ヒドロカルビル(例えば、メトキシ、ヒドロキシエチル、クロロメトキシ)、及び窒素置換したヒドロカルビル部分(例えば、アミノメチル、ジメチルアミノメチル、ピリジル)を包含する。代表的な反応には、次のものが含まれる。
Figure 2005175466
熱力学計算から、表19〜23に示したように、上記の反応は室温又は適度の高温において好適である。
Figure 2005175466
Figure 2005175466
Figure 2005175466
Figure 2005175466
Figure 2005175466
更に、そのほかの塩化物化合物、例えばGeCl4や同類の化合物を、同じようなやり方で高k材料をエッチング・クリーニングするのに使用することもできる。ハフニウム及びジルコニウムを基にした高k材料をエッチング・クリーニングする場合、反応物にAlCl3を加えてHfO2、ZrO2、HfSixy、及びZrSixy等の塩素化を促進することができる。これは、AlCl3は、HfO2及びZrO2等の塩素化を促進する一方でAl23よりも揮発性であるAlOClなどのようなオキシ塩化アルミニウムを生成するための酸素スカベンジャーとして使用することができるからである。
熱力学的に有利であることに加えて、化学反応はしばしば、反応が進行することができるように活性エネルギー障壁を克服するために外部エネルギー源を必要とする。外部エネルギー源は、例えば、熱による加熱又はプラズマでの活性化であることができる。より高い温度は、化学反応を加速し、そして反応副生物をより揮発性にすることができる。しかし、生産成長チャンバにおける温度には現実的な制約がある。プラズマは、反応を促進するためにより反応性の種を発生させることができる。プラズマ中のイオンは、プラズマシース中の電場により加速される。表面に突き当たる活発なイオンが、反応活性化エネルギー障壁を克服するのに必要なエネルギーを提供することができる。イオン衝撃も、反応生成物を揮発させ除去するのを促進する。これらは、プラズマエッチング・クリーニングと反応性イオンエッチングにおいて共通のメカニズムである。随意的に、熱及びプラズマの両方の活性化メカニズムを組み合わせて、高k材料のドライエッチング・クリーニングのための所望の反応を促進することができる。その場でのプラズマクリーニングに代わるものとして、成長チャンバから高k材料残留物をクリーニングするためのより反応性の種を発生させるために遠隔プラズマ源を使用することができる。更に、遠隔プラズマで発生させた反応性種と高k材料との反応を、CVD又はALD反応器の構成部品を最高600℃まで、又は400℃まで、及び/又は300℃までの高温に加熱することにより、活性化させ及び/又は促進することができる。
図1aと1bは、それぞれ、その場でのプラズマもしくは熱源といったような内部エネルギー源又は外部エネルギー源を使ってチャンバクリーニングを行うのに適した装置10を説明するものである。図1aでは、反応剤20(すなわちBCl3)(図1aでは実線の矢印として示されている)を、図示した除去すべき物質40、又はHfO2といったような高k残留物を有する、基材30(すなわち反応チャンバ)へ導入する。図1aに示したように、物質40は、反応チャンバ30内の露出表面、特に接地された側壁32、シャワーヘッド34、加工製品プラットホーム36等の、少なくとも一部に被着している。反応剤20は、RF電源装置又は図示したヒーターのような、外部エネルギー源50にさらされて、波線の矢印で示したBCl3及びClのような活性種60を生じさせる。活性種60は物質40と反応し、そしてHfCl4などのような揮発性生成物70を生成する。揮発性生成物70は、点線の矢印で示したようにチャンバ30から除去される。
図1bは、反応剤120(すなわちBCl3)をマイクロ波源といったような外部エネルギー源150に暴露して、アプリケータ/共鳴キャビティ115内で反応剤の高密度プラズマ110を作る装置100の例を提示している。次いで、高密度プラズマ110を、除去しようとする物質(図示せず)を有する基材130(すなわち反応チャンバ)へ送り、揮発性生成物(図示せず)を生成することができる。揮発性生成物は、図示の前方管路140を経てポンプ160により支援されてチャンバ130から容易に取り除くことができる。
以下の例を参照して本発明をより詳しく説明するが、本発明がそれらに限定されるとは見なされないことを理解すべきである。
以下に示すのは、高k材料のドライエッチング・クリーニングのために上記の化学反応を利用する実験例である。例1〜3の実験は、図2に示した設備と同様の平行プレート静電結合RFプラズマ反応器で行った。試料クーポン200を、原子層堆積により被着した高k誘電材料Al23、HfO2、及びZrO2で被覆したウエハから作製した。各実験ごとに、試料クーポン200をキャリヤウエハ210の上に載せ、そしてロードロック230を通し反応器チャック220の上に装着した。処理ガス240を、上部に取り付けたシャワーヘッド255から反応器250へ供給した。次に、チャック220に13.56MHzのRF電源装置260によりパワーを供給してプラズマ(図示せず)を発生させた。反応器250を、管路270を通してターボポンプ(図示せず)に接続した。クーポン上の高k膜の厚さを、処理プラズマの指定時刻の露出の前と後の両方で楕円偏光測定により測定した。プラズマ処理後の高k膜厚さの変化を使用してエッチング速度を計算した。エッチング速度のほかに、プラズマ直流自己バイアス電圧(Vbias)も測定した。例1〜3では、ウエハとチャンバー壁の両方を室温に保持した。
例1: Al23試料のプラズマエッチング・クリーニング
パワーはプラズマエッチング・クリーニングにおける重要な処理パラメータの一つであるから、BCl3プラズマによるAl23エッチングのパワー依存性を評価した。結果を下記の表24に列記する。
Figure 2005175466
明らかに、Al23をエッチングするのには0.55W/cm2の限界パワー密度と35Vの限界Vbiasがある。より高いパワー密度と高いVbiasが、結果としてより大きなエッチング速度をもたらす。
次に、BCl3プラズマによるAl23エッチングのチャンバ圧力依存性を調査した。結果を下記の表25に列記する。
Figure 2005175466
より大きなエッチング速度は減圧において得られた。減圧においてはエッチング反応に有利に働く2つの要因がある。第一に、より低い圧力でのより高いバイアス電圧は、より活発なイオン衝撃をもたらしてエッチング反応が活性化エネルギー障壁を克服するのを助ける。第二に、より低い圧力は、反応副生物のより速い堆積と拡散をもたらす。より高いVbiasも、活発なイオンによる物理スパッタリングを促進する。反応性イオンエッチングと物理スパッタリングによる寄与を示すために、純粋アルゴンプラズマを使用して比較実験を行った。結果を下記の表26に列記する。
Figure 2005175466
これらのデータから、純粋アルゴンのプラズマは、パワーが非常に高く且つVbiasがBCl3プラズマのそれより相対的に高くても、Al23を本質的にエッチングしないことが示された。これは、物理スパッタリングはAl23をエッチングするための主要なメカニズムではなかろうということを示している。その代わりに、イオン衝撃で促進された化学エッチング、又は反応性イオンエッチング(RIE)が、主要なメカニズムとなることができる。
固定したRF励起周波数(例えば13.56MHzといったような)において、表24と25のデータは、例えば、より高いパワーとより低い圧力がバイアス電圧を上昇させることができ、そして次にはそれが高k材料の化学エッチングを促進することができることを示している。より低い圧力とより高いパワーは、高k膜で被覆された基材のプラズマエッチングを促進するのに特に有効である。
RFプラズマをより低い周波数で作用させることもできる。プラズマシースを移動していくイオンは、より低い周波数では双峰のエネルギー分布を示すことがよくある。双峰のイオンエネルギー分布の結果として、より高いエネルギーで反応器表面へ突き当たるイオンの割合が大きくなる。これが、接地したALDチャンバ表面から高k被着残留物をプラズマクリーニングするのを促進するための有効な方法となることがある。
例2: HfO2試料のプラズマエッチング・クリーニング
500mTorrの圧力において、50Wと200Wの間の全てのパワーレベルでHfO2のエッチングを行った。結果を下記の表27に列記する。
Figure 2005175466
例3: ZrO2試料のプラズマエッチング・クリーニング
500mTorrの圧力及び50Wと200Wの間の種々の圧力レベルを使用して、ZrO2試料でいくつかの実験を行った。結果を下記の表28に列記する。
Figure 2005175466
図3は、500mTorrのチャンバ圧力及び1W/cm2のRFパワー密度での高k材料のHfO2、Al23、及びZrO2のBCl3プラズマエッチング速度の相対的な比較を示している。HfO2のエッチング速度が最大であり、ZrO2が3つの高k材料のうちで一番エッチング速度が小さいことがわかる。
例4と5は、高k材料のBCl3熱エッチング・クリーニングを説明する。図4は、例4と5についての実験設備の模式図である。この反応チャンバ300では、RFパワー310を上方電極320に印加することができ、そして下方電極330とチャンバ壁340を接地する。この反応器は、エッチング・クリーニング実験の間、RFプラズマと熱による加熱の両方で運転することができる。実験4と5では熱による加熱のみを使用した。下方電極/載置台330は、AC電源で作動されるヒータ350により加熱し、温度制御装置360により制御することができる。下方電極/載置台330の温度範囲は、室温から最高で700℃までである。試料360とキャリヤウエハ370を下方電極/載置台330の上に配置する。試料の表面温度は、周囲雰囲気中での下方電極の設定点よりも約50℃低い。試料の作製と測定の手順は、例1〜3におけるものと同様であった。試料の導入後、反応器を排気し、そしてヒータ350のスイッチを入れた。下方電極が設定点に達したなら、処理ガス380をチャンバ300中へ設定点に達するまで導入した。試料を処理ガス380に設定した時間暴露した。ポンプ(図示せず)に接続する管路390を通して処理ガス380を排気し、そして試料360を測定のために反応チャンバ300から取り出した。
例4: Al23試料の熱エッチング・クリーニング
Al23試料の熱エッチング・クリーニング用のエッチング剤としてBCl3を使用して、いくつかの実験を行った。プロセス変数は、下方電極温度、チャンバ圧力、そしてBCl3流量であった。結果を表29に列記する。
Figure 2005175466
例5: HfO2試料の熱エッチング・クリーニング
HfO2試料の熱エッチング・クリーニング用のエッチング剤としてBCl3を使用して、同様の一組の実験を行った。プロセス変数は、下方電極温度、チャンバ圧力、そしてBCl3流量であった。結果を表30に列記する。
Figure 2005175466
図5は、一定のチャンバ圧力とBCl3流量での下方電極温度へのエッチング速度の依存性を調べたものである。Al23とHfO2の両方のエッチング速度が、温度が上昇するにつれ増大することが分かる。HfO2のエッチング速度は、同じ条件下でのAl23のそれより大きい。
図6は、一定の下方電極設定温度とBCl3流量でのチャンバ圧力へのエッチング速度の依存性を調べたものである。より高い圧力においてエッチング速度が増大することが分かる。約350℃の下方電極温度では、チャンバ圧力を上昇させるのがエッチング速度を増大させるためのより効果的な方法である。この場合もやはり、HfO2のエッチング速度は同じ条件下でのAl23のそれより大きい。
表29と30のデータは、エッチング速度とBCl3流量との間には強い依存関係がないことを示している。これは、熱エッチング・クリーニングを一定流量のエッチングガス(例えばBCl3のような)で、あるいは流動なしの所定の設定圧力の静的なチャンバで、行うことができることを意味する。
比較例1: Al23、HfO2及びZrO2試料のNF3プラズマエッチング・クリーニング
図2に示したのと同じ装置において、例1〜3と同じ方法に従って、8.7スタンダード立方センチメートル(sccm)のNF3と49.3sccmのHeの混合物を使用して、一組のプラズマ実験を行った。3つの異なる高k材料のAl23、HfO2及びZrO2を、いろいろなパワー密度とチャンバ圧力で試験した。詳しい実験条件と結果を表31に提示する。表31の結果は、より高いパワー密度と低下したチャンバ圧力がより大きなエッチング速度をもたらすことを示している。一部の事例では、バイアス電圧がより高かったが、これは、エッチング反応が活性化エネルギー障壁を克服するのをより活発なイオン衝撃が助けて活発なイオンによる物理スパッタリングを促進する結果に至る。より低いチャンバ圧力でも、反応副生物の被着と拡散がより速くなることがある。先の例におけるBCl3プラズマ実験の結果と比較すると、NF3プラズマは、Al23、HfO2、又はZrO2試料のいずれかについてエッチング速度を有意に低下させた。
Figure 2005175466
例6: BCl3とNF3の混合物を使用するHfO2、ZrO2、及びHfSixy試料のNF3プラズマエッチング・クリーニング
BCl3とNF3の混合物を使用して、3つの異なる高k材料のHfO2、ZrO2、及びHfSixyのエッチング速度を試験した。HfO2の場合は、BCl3の流量は10sccmであり、NF3の流量を調整していろいろなNF3対BCl3体積比を得た。ZrO2とHfSixyの場合は、全体流量は20sccmであり、BCl3とNF3の流量を調整してそれに応じいろいろなNF3対BCl3体積比を得た。全ての実験について、パワー密度は0.55W/cm2であり、チャンバ圧力は500mTorrであった。実験は、図2に示したのと同じ設備を使用し、そして例1〜3及び比較例1と同じ方法に従って行った。
図7a〜7cは、BCl3とNF3の混合物中のNF3の体積割合と、それぞれHfO2、HfSixy、ZrO2についてのエッチング速度との関係を示している。純粋なBCl3と比較して、HfO2についてのエッチング速度(図7aに示される)とHfSixyについてのエッチング速度(図7bに示される)は、それぞれ約25体積%のNF3及び15体積%のNF3でほぼ2倍になった。
図7cは、BCl3へのいろいろなNF3添加量でのZrO2のエッチング速度を示している。NF3なしでは、BCl3は所定のプラズマ条件、すなわち0.55W/cm2パワー密度及び500mTorr反応器チャンバ圧力の下で、ZrO2材料を除去することができない。現実に、ZrO2の厚さはBCl3プラズマへの1分の暴露後に増加した。しかし、NF3の添加でエッチングが起こる。HfO2及びHfSixyと同じように、約20体積%のNF3のところにZrO2についての最大のエッチング速度がある。
本発明を詳しく、その具体的な態様を参照して説明したが、その精神及び範囲から逸脱することなしにそれに様々な変更及び改変を行うことができることは当業者には明らかであろう。
内部エネルギー源を使ってチャンバのクリーニングを行うのに適した装置を説明する図である。 遠隔エネルギー源を使ってチャンバのクリーニングを行うのに適した装置を説明する図である。 エネルギー源としてプラズマを使って本発明の方法を実施するための装置を説明する図である。 Al23に対して標準化した種々の高誘電率材料の相対的なBCl3プラズマエッチング速度を示すグラフである。 加熱をエネルギー源として利用して本発明の方法を実施するための装置を説明する図である。 一定のチャンバ圧力とBCl3流量でのエッチング速度の下方電極/載置台設定温度への依存関係を説明する図である。 一定の下方電極設定温度とBCl3流量でのエッチング速度のチャンバ圧力への依存関係を説明する図である。 本発明の一つの態様についてBCl3とNF3の混合物中の種々のNF3の割合での、HfO2エッチング速度を示す図である。 本発明の一つの態様についてBCl3とNF3の混合物中の種々のNF3の割合での、HfSixyエッチング速度を示す図である。 本発明の一つの態様についてBCl3とNF3の混合物中の種々のNF3の割合での、ZrO2エッチング速度を示す図である。
符号の説明
20 反応剤
30 基材(反応チャンバ)
32 反応チャンバの側壁
40 除去すべき物質
50 外部エネルギー源
60 活性種
70 揮発性生成物

Claims (31)

  1. 反応器表面から物質を除去するための方法であって、
    当該反応器表面を有する反応器を準備し、ここにおいて、(a)当該反応器表面は当該物質の膜で少なくとも部分的に被覆されており、(b)当該物質は、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩からなる群より選ばれる少なくとも1種のもの、あるいは、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩、窒素を含有する13族金属酸化物、又は窒素を含有する13族金属ケイ酸塩からなる群より選ばれる少なくとも1つの層を含む積層体であり、そして(c)当該物質は二酸化ケイ素の誘電率よりも大きい誘電率を有すること、
    当該物質を反応剤と反応させて揮発性生成物を作り、ここにおいて、当該反応剤は、ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群より選ばれる少なくとも1種のものを含むこと、
    そして当該反応器から当該揮発性生成物を除去して、それにより当該表面から当該物質を除去すること、
    を含む,反応器表面からの物質除去方法。
  2. 前記反応器が原子層堆積反応器である、請求項1記載の方法。
  3. 前記物質が、Al23、HfO2、ZrO2、HfSixy、及びZrSixy(これらの式中のxは0より大きい数であり、yは2x+2である)からなる群、並びに窒素を含有する前述の化合物のいずれかより選ばれる少なくとも1つのものである、請求項1記載の方法。
  4. 前記反応剤が、BCl3、COCl2、HCl、Cl2、ClF3、NF3、F2、及びNFzCl3-z(この式のzは0〜2の整数である)からなる群より選ばれる少なくとも1つのものである、請求項1記載の方法。
  5. 前記反応剤がCOとCl2のその場での反応により生成されるCOCl2である、請求項4記載の方法。
  6. 前記反応剤がBCl3である、請求項4記載の方法。
  7. 前記反応剤が式CxyClz(この式のxは1〜6の範囲の数であり、yは0〜13の範囲の数であり、zは1〜14の範囲の数である)を有する炭素含有化合物である、請求項1記載の方法。
  8. 前記反応剤をガスボンベ、安全な送給装置、又は真空送給装置から前記物質へ送給する、請求項1記載の方法。
  9. 前記反応剤を使用箇所の発生器によりその場で生成する、請求項1記載の方法。
  10. 前記物質を不活性ガス希釈剤で希釈した前記反応剤と接触させる、請求項1記載の方法。
  11. 前記反応剤がハロゲン含有化合物の混合物を含む、請求項1記載の方法。
  12. 前記ハロゲン含有化合物の混合物が少なくとも1種の塩素含有ガスと50体積%未満の少なくとも1種のフッ素含有ガスを含む、請求項11記載の方法。
  13. 反応チャンバの表面の少なくとも一部分から物質を除去するための方法であって、
    当該表面の少なくとも一部が当該物質で少なくとも部分的に被覆され、そして当該物質が4.1以上の誘電率を有し、且つ、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩からなる群のうちの少なくとも1種のもの、あるいは、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩からなる群のうちの少なくとも1層を含む積層体である反応チャンバを準備すること、
    反応剤を当該反応チャンバへ導入し、ここにおいて、当該反応剤は、ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群より選ばれる少なくとも1種のものを含むこと、
    当該物質を当該反応剤と反応させ揮発性生成物を生成するのに十分な1以上のエネルギー源に当該反応剤を暴露すること、
    そして当該揮発性生成物を当該反応チャンバから除去すること、
    を含む、反応チャンバ表面からの物質除去方法。
  14. 前記反応剤をガスボンベ、安全な送給装置、又は真空送給装置から前記物質へ送給する、請求項13記載の方法。
  15. 前記反応剤を使用箇所の発生器によりその場で生成する、請求項13記載の方法。
  16. 前記物質を不活性ガス希釈剤で希釈した前記反応剤と接触させる、請求項13記載の方法。
  17. 前記反応剤を非反応性の支持材上に被着させる、請求項13記載の方法。
  18. 前記反応剤を1以上のエネルギー源に暴露し、この暴露工程を前記導入する工程の前に行う、請求項13記載の方法。
  19. 前記反応剤を1以上のエネルギー源に暴露し、この暴露工程を前記導入する工程の少なくとも一部分の間に行う、請求項13記載の方法。
  20. 前記暴露工程の温度が少なくとも150℃である、請求項13記載の方法。
  21. 前記暴露工程の圧力が少なくとも10mTorrである、請求項13記載の方法。
  22. 前記反応剤がハロゲン含有化合物の混合物を含む、請求項13記載の方法。
  23. 前記混合物が少なくとも1種の塩素含有ガスと50体積%未満の少なくとも1種のを含む、請求項13記載の方法。
  24. 反応器の少なくとも1つの表面から物質を除去するための装置であって、
    ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群より選ばれる少なくとも1種の反応剤、及び、
    当該少なくとも1種の反応剤が被着した非反応性支持材、
    を含む、反応器表面からの物質除去装置。
  25. 反応器の少なくとも1つの表面から物質を除去するための混合物であって、
    ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群より選ばれる少なくとも1種の反応剤、及び、
    不活性希釈剤、
    を含む混合物。
  26. 基材の少なくとも1つの表面から物質を除去するための方法であって、
    遷移金属酸化物、遷移金属ケイ酸塩、Al23以外の13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩からなる群より選ばれる少なくとも1種のもの、あるいは、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、又は窒素を含有する遷移金属ケイ酸塩からなる群のうちの少なくとも1つの層を含む積層体である物質の膜で少なくとも部分的に被覆された基材であり、当該物質が二酸化ケイ素の誘電率より大きい誘電率を有する基材を用意すること、
    当該物質を、ハロゲン含有化合物、ホウ素含有化合物、炭素含有化合物、水素含有化合物、窒素含有化合物、キレート化用化合物、クロロシラン化合物、ヒドロクロロシラン化合物、又はオルガノクロロシラン化合物からなる群からの少なくとも1種のものを含む反応剤と反応させて、揮発性生成物を生成すること、
    そして当該基材から当該揮発性生成物を除去し、それにより当該基材から当該物質を除去すること、
    を含む、基材表面からの物質除去方法。
  27. 前記物質が、HfO2、ZrO2、HfSixy、ZrSixy(これらの式中のxは0より大きく、yは2x+2である)、Al2Siwz(この式のwは0より大きく、zは2w+3である)からなる群、又は窒素を含有する前述の化合物のいずれかより選ばれる少なくとも1種のものである、請求項26記載の方法。
  28. 前記物質が、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩、窒素を含有する13族金属酸化物、又は窒素を含有する13族金属ケイ酸塩からなる群より選ばれる少なくとも1種の材料の層を含む積層体である、請求項26記載の方法。
  29. 前記反応剤が、BCl3、COCl2、HCl、Cl2、ClF3、NF3、F2、及びNFzCl3-z(この式のzは0〜2の整数である)からなる群より選ばれる少なくとも1つのものである、請求項26記載の方法。
  30. 前記物質が、HfO2、ZrO2、HfSixy、ZrSixy(これらの式中のxは0より大きく、yは2x+2である)、Al2Siwz(この式のwは0より大きく、zは2w+3である)からなる群、又は窒素を含有する前述の化合物のいずれかより選ばれる少なくとも1つのものである、請求項26記載の方法。
  31. 反応器表面から物質を除去するための方法であって、
    当該反応器表面を有する反応器を準備し、ここにおいて、(a)当該反応器表面は当該物質の膜で少なくとも部分的に被覆されており、(b)当該物質は、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する13族金属酸化物、窒素を含有する13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩からなる群より選ばれる少なくとも1種のもの、あるいは、遷移金属酸化物、遷移金属ケイ酸塩、13族金属酸化物、13族金属ケイ酸塩、窒素を含有する遷移金属酸化物、窒素を含有する遷移金属ケイ酸塩、窒素を含有する13族金属酸化物、又は窒素を含有する13族金属ケイ酸塩からなる群より選ばれる少なくとも1つの層を含む積層体であり、そして(c)当該物質は二酸化ケイ素の誘電率よりも大きい誘電率を有すること、
    当該物質を、少なくとも1種のフッ素含有化合物と、塩素含有化合物、臭素含有化合物、又はヨウ素含有化合物から選ばれる少なくとも1種とを含む反応剤であって、当該フッ素含有化合物が当該反応剤の量の50体積%未満である反応剤と反応させること、
    そして当該反応器から揮発性生成物を除去して、それにより当該表面から当該物質を除去すること、
    を含む、反応器表面からの物質除去方法。
JP2004342118A 2003-11-26 2004-11-26 反応器表面から物質を除去するための方法、装置及び混合物 Pending JP2005175466A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/723,714 US7357138B2 (en) 2002-07-18 2003-11-26 Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Publications (1)

Publication Number Publication Date
JP2005175466A true JP2005175466A (ja) 2005-06-30

Family

ID=34465714

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004342118A Pending JP2005175466A (ja) 2003-11-26 2004-11-26 反応器表面から物質を除去するための方法、装置及び混合物

Country Status (7)

Country Link
US (1) US7357138B2 (ja)
EP (1) EP1538235A1 (ja)
JP (1) JP2005175466A (ja)
KR (1) KR100667723B1 (ja)
CN (1) CN100372055C (ja)
SG (2) SG112101A1 (ja)
TW (1) TWI267898B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009016611A (ja) * 2007-07-05 2009-01-22 Hitachi High-Technologies Corp プラズマエッチング処理方法
JP2009064991A (ja) * 2007-09-07 2009-03-26 Hitachi High-Technologies Corp High−k膜のドライエッチング方法
JPWO2008056742A1 (ja) * 2006-11-09 2010-02-25 株式会社アルバック バリア膜の形成方法
JP2010093097A (ja) * 2008-10-09 2010-04-22 Dainippon Screen Mfg Co Ltd チャンバ洗浄方法
JP2012142574A (ja) * 2010-12-28 2012-07-26 Asm Japan Kk 金属酸化物のハードマスクの形成方法
JP2019519109A (ja) * 2016-06-03 2019-07-04 インテグリス・インコーポレーテッド ハフニア及びジルコニアの蒸気相エッチング
JP2019169495A (ja) * 2018-03-22 2019-10-03 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法および半導体素子の製造方法
CN111566786A (zh) * 2017-12-14 2020-08-21 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
JPWO2022259399A1 (ja) * 2021-06-09 2022-12-15
KR20230078781A (ko) 2020-10-12 2023-06-02 도쿄엘렉트론가부시키가이샤 매립 방법 및 성막 장치

Families Citing this family (526)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004225152A (ja) * 2003-01-27 2004-08-12 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
KR101037308B1 (ko) * 2003-05-30 2011-05-27 도쿄엘렉트론가부시키가이샤 고-k 유전성 재료 에칭 방법 및 시스템
US20050153563A1 (en) * 2004-01-14 2005-07-14 Lam Research Corporation Selective etch of films with high dielectric constant
JP2005268292A (ja) * 2004-03-16 2005-09-29 Toshiba Corp 半導体装置の製造方法
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
US7179759B2 (en) * 2004-09-30 2007-02-20 Taiwan Semiconductor Manufacturing Company Barrier layer and fabrication method thereof
JP4836112B2 (ja) * 2004-12-24 2011-12-14 国立大学法人京都大学 半導体処理装置のクリーニング方法およびシリコン基板のエッチング方法
US7509962B2 (en) * 2005-01-21 2009-03-31 Tokyo Electron Limited Method and control system for treating a hafnium-based dielectric processing system
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7485580B2 (en) * 2005-09-20 2009-02-03 Air Products And Chemicals, Inc. Method for removing organic electroluminescent residues from a substrate
US20080047579A1 (en) * 2006-08-25 2008-02-28 Bing Ji Detecting the endpoint of a cleaning process
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP2009076590A (ja) * 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
KR20100071961A (ko) * 2007-09-19 2010-06-29 가부시키가이샤 히다치 고쿠사이 덴키 클리닝 방법 및 기판 처리 장치
JP4994197B2 (ja) * 2007-11-16 2012-08-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20100112191A1 (en) * 2008-10-30 2010-05-06 Micron Technology, Inc. Systems and associated methods for depositing materials
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010129289A2 (en) * 2009-04-28 2010-11-11 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
CN102414801A (zh) 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
DE102010060297A1 (de) * 2009-11-04 2011-07-28 Oerlikon Solar Ag, Trübbach Verfahren zum Entfernen von Kontamination von einem Reaktor
JP5655296B2 (ja) * 2009-12-01 2015-01-21 セントラル硝子株式会社 エッチングガス
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
CN104853855B (zh) * 2012-12-18 2020-07-24 海星化学有限公司 用于薄膜沉积反应器和薄膜层的原位干式清洁的过程和方法
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6114636B2 (ja) * 2013-06-06 2017-04-12 東京エレクトロン株式会社 乾燥装置及び乾燥処理方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US20170069472A1 (en) * 2014-03-24 2017-03-09 Imec Vzw Method for cleaning a process chamber
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) * 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP2018500767A (ja) 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6604738B2 (ja) * 2015-04-10 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法、パターン形成方法及びクリーニング方法
KR102085044B1 (ko) * 2015-05-22 2020-03-05 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017213842A2 (en) 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180345330A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20180347037A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20180350571A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
CN109023302B (zh) * 2017-06-12 2020-11-10 北京北方华创微电子装备有限公司 一种成膜设备及其原位清洗方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220156674A (ko) * 2017-09-11 2022-11-25 어플라이드 머티어리얼스, 인코포레이티드 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적 인-시튜 세정
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
JP6902991B2 (ja) 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112640062B (zh) * 2018-09-05 2024-04-12 株式会社国际电气 清扫方法、半导体装置的制造方法、记录介质和基板处理装置
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
CN113767453B (zh) 2020-04-03 2023-12-12 株式会社日立高新技术 等离子处理装置以及等离子处理方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11226446B2 (en) * 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220101830A (ko) * 2021-01-12 2022-07-19 에스케이스페셜티 주식회사 금속산화물 반도체 물질의 증착 챔버의 세정 방법
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001520463A (ja) * 1997-10-21 2001-10-30 アプライド マテリアルズ インコーポレイテッド エッチングチャンバ洗浄方法
JP2002025991A (ja) * 2000-07-13 2002-01-25 Fuji Electric Co Ltd プラズマクリーニング方法、半導体装置の製造方法
JP2002343784A (ja) * 2001-05-17 2002-11-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2003209103A (ja) * 2002-01-17 2003-07-25 Tokyo Electron Ltd 処理装置および処理方法
JP2003218100A (ja) * 2002-01-21 2003-07-31 Central Glass Co Ltd 混合クリーニングガス組成物
JP2003293138A (ja) * 2001-12-25 2003-10-15 Tokyo Electron Ltd 処理装置及びそのクリーニング方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4030967A (en) * 1976-08-16 1977-06-21 Northern Telecom Limited Gaseous plasma etching of aluminum and aluminum oxide
US5288662A (en) 1992-06-15 1994-02-22 Air Products And Chemicals, Inc. Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning
KR100293830B1 (ko) 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5637153A (en) 1993-04-30 1997-06-10 Tokyo Electron Limited Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus
US5454903A (en) 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
GB9626329D0 (en) 1996-12-19 1997-02-05 British Nuclear Fuels Plc Improvements in and relating to the storage, transportation and production of active fluoride
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5972722A (en) 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
EP1001459B1 (en) 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
JP2000200779A (ja) 1998-10-30 2000-07-18 Toshiba Corp エッチング方法,化学気相成長装置,化学気相成長装置のクリ―ニング方法,及び化学気相成長装置用の石英部材
KR100279907B1 (ko) * 1998-12-31 2001-03-02 김동성 반도체 장치의 제조공정시 챔버의 평균 클리닝 주기를증가시키기 위한 챔버 시즈닝 처리방법
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
AU6336700A (en) 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
WO2003012843A1 (fr) 2001-07-31 2003-02-13 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Procede et appareil de nettoyage et procede et appareil de gravure
JP3806868B2 (ja) 2002-01-07 2006-08-09 株式会社日立製作所 Cvd装置のクリーニング方法
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
JP4099092B2 (ja) 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
JP3897165B2 (ja) 2002-07-02 2007-03-22 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20040014327A1 (en) 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001520463A (ja) * 1997-10-21 2001-10-30 アプライド マテリアルズ インコーポレイテッド エッチングチャンバ洗浄方法
JP2002025991A (ja) * 2000-07-13 2002-01-25 Fuji Electric Co Ltd プラズマクリーニング方法、半導体装置の製造方法
JP2002343784A (ja) * 2001-05-17 2002-11-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2003293138A (ja) * 2001-12-25 2003-10-15 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
JP2003209103A (ja) * 2002-01-17 2003-07-25 Tokyo Electron Ltd 処理装置および処理方法
JP2003218100A (ja) * 2002-01-21 2003-07-31 Central Glass Co Ltd 混合クリーニングガス組成物

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2008056742A1 (ja) * 2006-11-09 2010-02-25 株式会社アルバック バリア膜の形成方法
JP5275038B2 (ja) * 2006-11-09 2013-08-28 株式会社アルバック バリア膜の形成方法
JP2009016611A (ja) * 2007-07-05 2009-01-22 Hitachi High-Technologies Corp プラズマエッチング処理方法
JP2009064991A (ja) * 2007-09-07 2009-03-26 Hitachi High-Technologies Corp High−k膜のドライエッチング方法
JP2010093097A (ja) * 2008-10-09 2010-04-22 Dainippon Screen Mfg Co Ltd チャンバ洗浄方法
JP2012142574A (ja) * 2010-12-28 2012-07-26 Asm Japan Kk 金属酸化物のハードマスクの形成方法
JP2019519109A (ja) * 2016-06-03 2019-07-04 インテグリス・インコーポレーテッド ハフニア及びジルコニアの蒸気相エッチング
CN111566786A (zh) * 2017-12-14 2020-08-21 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
JP2021507509A (ja) * 2017-12-14 2021-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エッチング残留物の少ない金属酸化物のエッチング方法
JP7366019B2 (ja) 2017-12-14 2023-10-20 アプライド マテリアルズ インコーポレイテッド エッチング残留物の少ない金属酸化物のエッチング方法
CN111566786B (zh) * 2017-12-14 2024-03-15 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
JP2019169495A (ja) * 2018-03-22 2019-10-03 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法および半導体素子の製造方法
JP7093656B2 (ja) 2018-03-22 2022-06-30 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法および半導体素子の製造方法
KR20230078781A (ko) 2020-10-12 2023-06-02 도쿄엘렉트론가부시키가이샤 매립 방법 및 성막 장치
JPWO2022259399A1 (ja) * 2021-06-09 2022-12-15
JP7307861B2 (ja) 2021-06-09 2023-07-12 株式会社日立ハイテク 半導体製造方法及び半導体製造装置

Also Published As

Publication number Publication date
US20040129671A1 (en) 2004-07-08
CN100372055C (zh) 2008-02-27
TW200518178A (en) 2005-06-01
KR20050050579A (ko) 2005-05-31
SG112101A1 (en) 2005-06-29
SG135203A1 (en) 2007-09-28
CN1638028A (zh) 2005-07-13
EP1538235A1 (en) 2005-06-08
KR100667723B1 (ko) 2007-01-15
US7357138B2 (en) 2008-04-15
TWI267898B (en) 2006-12-01

Similar Documents

Publication Publication Date Title
KR100667723B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
US20040011380A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
KR100644176B1 (ko) 고 유전상수 재료에 대해 증착실을 세정하는 방법
TWI381438B (zh) 半導體處理裝置之清潔方法及矽基板之蝕刻方法
TWI293900B (en) Free radical initiator in remote plasma chamber clean
KR100760891B1 (ko) 불소 이용 강화를 위한 방법
JP2009050854A (ja) 窒化チタンの除去方法
US8974602B2 (en) Method of reducing contamination in CVD chamber
JP2006060237A (ja) 化学反応室及び半導体プロセスチャンバー
JP2007150305A (ja) 二フッ化キセノンを用いた窒化チタンの選択的エッチング
US7485580B2 (en) Method for removing organic electroluminescent residues from a substrate
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
EP1724374A1 (en) Method and process for reactive gas cleaning of tool parts
TWI792002B (zh) 使用氟及金屬鹵化物來蝕刻金屬氧化物
JP2008060171A (ja) 半導体処理装置のクリーニング方法
JP2006173301A (ja) 非シリコン系膜の成膜装置のクリーニング方法
JP2006060167A (ja) 半導体基板処理装置のクリーニング方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050414

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050414

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080519

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080527

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080827

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080901

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090811

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100126