JP5275038B2 - バリア膜の形成方法 - Google Patents

バリア膜の形成方法 Download PDF

Info

Publication number
JP5275038B2
JP5275038B2 JP2008543121A JP2008543121A JP5275038B2 JP 5275038 B2 JP5275038 B2 JP 5275038B2 JP 2008543121 A JP2008543121 A JP 2008543121A JP 2008543121 A JP2008543121 A JP 2008543121A JP 5275038 B2 JP5275038 B2 JP 5275038B2
Authority
JP
Japan
Prior art keywords
gas
film
plasma
barrier film
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008543121A
Other languages
English (en)
Other versions
JPWO2008056742A1 (ja
Inventor
正信 畠中
道夫 石川
加奈子 津曲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP2008543121A priority Critical patent/JP5275038B2/ja
Publication of JPWO2008056742A1 publication Critical patent/JPWO2008056742A1/ja
Application granted granted Critical
Publication of JP5275038B2 publication Critical patent/JP5275038B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、バリア膜の形成方法に関する。
近年、半導体集積回路のパターンの微細化に伴い、アスペクト比の高い微細なコンタクトホールやトレンチ等をCuやAl等の配線材料で埋め込んだいわゆる埋込配線構造が提案されている。
上記のような埋込配線構造の場合、例えば主配線材料としてCuを用いると、Cuが絶縁膜中に拡散されやすく、不具合が生じる。そのため、絶縁膜と配線材料との間に導電性のバリア膜を設けて、Cuの拡散を抑制又は防止している。このバリア膜の形成には、種々の方法が提案されているが、例えばPVD法やMOCVD法やALD法を用いて、Ta、TiN、TaN等の材料層を堆積させてバリア膜を形成することが知られている(例えば、特許文献1参照)。
上記したALD法は、一種類の前駆体を基板表面に吸着させ(吸着工程)、この前駆体が吸着している状態で別の前駆体を供給することにより、二つの前駆体を基板表面で互いに接触させて反応せしめ(改質工程)、この工程を繰り返して所望の金属膜を形成するというものである。このALD法は、一般にCVD法より低温で、かつカバレッジ良く成膜できるという利点がある。
ところで、Ta、TiN以外のバリア性の高い膜として、ZrB膜が知られている。このZrB膜は、原料としてZr(BH)を用いると次の反応式(1)に従って成膜できることが知られている。
Zr(BH)→ZrB+B+5H・・・(1)
上記式(1)の場合は、原料をSi基板からの熱により直接熱分解して基板上にZrB膜を形成する方法であるが、良好なZrB膜を得るには、基板を550℃以上の高温に加熱することが必要となり、好ましくない。
これに対し、原料に水素ラジカルを添加し、この水素ラジカル及びSi基板からの熱により原料を低温(300〜350℃)で反応せしめて基板上にZrB膜を形成するCVD法が知られている(例えば、非特許文献1参照)。
このような水素ラジカルを加えるためには、水素ガスを励起することが必要である。このようなガスを励起するための装置としては、導波管を用いてマイクロ波を導入するマイクロ波励起プラズマ処理装置が知られている(例えば、特許文献2参照)。
特開2004−6856号公報(請求項3、図4等) 特開平10−255998号公報(請求項1、図1等) J.Appl.Phys.,Vol.91,No.6,15 March 2002,pp.3904-3907(p.3904)
しかしながら、ZrB膜をバリア膜として形成するために上記式(1)の反応を利用して原料を直接熱分解すると、成膜温度が高すぎるため、半導体装置の配線材料としてCuやAlを用いることができないという問題がある。
また、上記のようなマイクロ波励起プラズマ処理装置では、導波管によってマイクロ波を伝播することから、マイクロ波発生からプラズマ生成まで時間がかかるため、吸着工程と改質工程とを多数回繰り返すALD法には適していないという問題がある。
そこで、本発明の課題は、上記従来技術の問題点を解決することにあり、プラズマ生成に時間がかからないマイクロ波励起プラズマ処理装置を用いたALD法を利用して、低温プロセスで、微細なホール、トレンチ等の上部でバリア膜がオーバーハングすることもなく、これらのホールやトレンチの内面にカバレージ良く、そして効率よくZrB膜のバリア膜を形成する方法を提供することにある。
本発明のバリア膜の形成方法は、反応ガスを導入するための非金属パイプの外周の上部及び下部に離間して設けられた導体を備えた同軸型共振キャビティと、マイクロ波供給回路とからなるプラズマ生成手段であって、前記同軸型共振キャビティ内部の高さが励振波長の1/2の整数倍であり、非金属パイプの一方の端から注入されたガスが、非金属パイプの前記導体を設けていない領域でマイクロ波により励起され、他方の端からプラズマ化して放出されるように構成されたプラズマ生成手段を備えた成膜装置を用いて、ALD法によりバリア膜を形成するバリア膜の形成方法において、ホール、トレンチが形成されている絶縁膜を有する成膜対象物を前記成膜装置の真空チャンバー内に載置し、この真空チャンバー内にZr(BH)ガスからなる原料ガスとH ガスからなる反応ガスとを供給して絶縁膜表面に吸着させる吸着工程と、原料ガスの供給を止めて反応ガスを連続して供給し、この反応ガスを前記プラズマ生成手段によりプラズマ化し、このプラズマ化した反応ガスを前記吸着工程で絶縁膜表面に吸着させた原料ガスと反応させて改質を行う改質工程と、を繰り返し行うことによって、ホール、トレンチの内面を含めて絶縁膜上にZrB膜からなるバリア膜を形成することを特徴とする。このような装置を用いて成膜することで、低温プロセスで、微細なホール、トレンチの内面に、カバレージよく、しかも効率的にZrB膜のバリア膜を形成することができる。
発明のバリア膜の形成方法は反応ガスを導入するための非金属パイプの外周の上部及び下部に離間して設けられた導体を備えた同軸型共振キャビティと、マイクロ波供給回路とからなるプラズマ生成手段であって、前記同軸型共振キャビティ内部の高さが励振波長の1/2の整数倍であり、非金属パイプの一方の端から注入されたガスが、非金属パイプの前記導体を設けていない領域でマイクロ波により励起され、他方の端からプラズマ化して放出されるように構成されたプラズマ生成手段を備えた成膜装置を用いて、ALD法によりバリア膜を形成するバリア膜の形成方法において、ホール、トレンチが形成されている絶縁膜を有する成膜対象物を前記成膜装置の真空チャンバー内に載置し、この真空チャンバー内にZr(BH ) ガスからなる原料ガスと前記プラズマ生成手段により ガスからなる反応ガスをプラズマ化して得られたガスとを供給して絶縁膜表面に吸着させる吸着工程と、原料ガスの供給を止め前記プラズマ生成手段によりプラズマ化た反応ガスを連続して供給し、このプラズマ化した反応ガスを前記吸着工程で絶縁膜表面に吸着した原料ガスと反応させ改質を行う改質工程とを繰り返し行うことによって、ホール、トレンチの内面を含めて絶縁膜上にZrB膜からなるバリア膜を形成することを特徴とする
本発明のバリア膜の形成方法は、反応ガスを導入するための非金属パイプの外周の上部及び下部に離間して設けられた導体を備えた同軸型共振キャビティと、マイクロ波供給回路とからなるプラズマ生成手段であって、前記同軸型共振キャビティ内部の高さが励振波長の1/2の整数倍であり、非金属パイプの一方の端から注入されたガスが、非金属パイプの前記導体を設けていない領域でマイクロ波により励起され、他方の端からプラズマ化して放出されるように構成されたプラズマ生成手段を備えた成膜装置を用いて、ALD法によりバリア膜を形成するバリア膜の形成方法において、ホール、トレンチが形成されている絶縁膜を有する成膜対象物を前記成膜装置の真空チャンバー内に載置し、この真空チャンバー内にZr(BH)ガスからなる原料ガスとH ガスにN 及びNHから選ばれた少なくとも1つを混合した反応ガス供給して絶縁膜表面に吸着させる吸着工程と、原料ガスの供給を止めて反応ガスを連続して供給し、この反応ガスを前記プラズマ生成手段によりプラズマ化し、このプラズマ化した反応ガスを前記吸着工程で絶縁膜表面に吸着させた原料ガスと反応させて改質を行う改質工程と、を繰り返し行うことによって、ホール、トレンチの内面を含めて絶縁膜上にZrBN膜からなるバリア膜を形成することを特徴とする。HガスにN及びNHから選ばれた少なくとも1つを混合して用いることで、プラズマ化された反応ガスの状態をよりながく保つことが可能となる。そして、この反応ガスを用いて、このような装置によって成膜することで、低温プロセスで、微細なホール、トレンチの内面に、カバレージよく、しかも効率的にZrBN膜のバリア膜を形成することができる。
本発明のバリア膜の形成方法は、反応ガスを導入するための非金属パイプの外周の上部及び下部に離間して設けられた導体を備えた同軸型共振キャビティと、マイクロ波供給回路とからなるプラズマ生成手段であって、前記同軸型共振キャビティ内部の高さが励振波長の1/2の整数倍であり、非金属パイプの一方の端から注入されたガスが、非金属パイプの前記導体を設けていない領域でマイクロ波により励起され、他方の端からプラズマ化して放出されるように構成されたプラズマ生成手段を備えた成膜装置を用いて、ALD法によりバリア膜を形成するバリア膜の形成方法において、ホール、トレンチが形成されている絶縁膜を有する成膜対象物を前記成膜装置の真空チャンバー内に載置し、この真空チャンバー内にZr(BH ) ガスからなる原料ガスと、 ガスにN 及びNH から選ばれた少なくとも1つを混合した反応ガスを前記プラズマ生成手段によりプラズマ化して得られたガスとを供給して絶縁膜表面に吸着させる吸着工程と、原料ガスの供給を止め前記プラズマ生成手段によりプラズマ化た反応ガスを連続して供給し、このプラズマ化した反応ガスを前記吸着工程で絶縁膜表面に吸着した原料ガスと反応させ改質を行う改質工程とを繰り返し行うことによって、ホール、トレンチの内面を含めて絶縁膜上にZrBN膜からなるバリア膜を形成することを特徴とする
前記反応ガスの流量を、吸着工程と改質工程とで異なる流量とすることが好ましい。バリア膜を形成する場合には、前記成膜対象物を50〜300℃に加熱しながらバリア膜を形成することが好ましい。
また、前記同軸型共振キャビティ内に冷却ガスを導入し、非金属パイプの導体を設けていない領域を冷却しながらバリア膜を形成することが好ましく、さらに、前記非金属パイプの側壁を2重にし、この側壁間に冷却用流体を循環させて非金属パイプを冷却しながらバリア膜を形成することが好ましい。これらのように冷却することで、非金属パイプ内壁のエッチングを抑制し、ラジカルの生成効率を上げることが可能である。
本発明によれば、マイクロ波励起のプラズマ生成手段を備えた成膜装置を用いて、ALD法に従って低温で吸着工程及び改質工程を実施することにより、アスペクト比の高い微細なホール、トレンチ等の上部でのバリア膜のオーバーハングもなく、これらのホール、トレンチ等にカバレージ良くバリア膜を形成することができるという効果を奏する。
初めに、本発明の成膜方法に用いられる第1の成膜装置について説明する。第1の成膜装置は、成膜対象物を載置する載置台をその底部に備えた真空チャンバーと、この真空チャンバーの天井に、成膜対象物に対向するように設けられたシャワーヘッド構造とからなる。このシャワーヘッド構造について、図1を参照して以下詳細に述べる。
シャワーヘッド構造1は、上部の同軸型共振キャビティ11と、同軸型共振キャビティ11の底部に接続して設けられた反応ガス導入室12と、反応ガス導入室12に接続して設けられたシャワーヘッド部13とからなる。
同軸型共振キャビティ11は、例えば銅製やアルミ製で、この同軸型共振キャビティ11には、非金属パイプ111がキャビティの天井壁と底壁とを貫通して設けられている。この非金属パイプ111の上部には、図示しない反応ガスのガス源が流量制御手段を介して接続される。この非金属パイプ111としては、石英管、サファイア管又はアルミナ管を用いることができるが、パーティクルをより低減すべく、サファイア管かアルミナ管を用いることが好ましい。
この非金属パイプ111の上部には、その周囲を覆うように、同心円状の可動自在の上部導体112が設けられ、また、この上部導体112の下方では、同軸型共振キャビティ11の底壁が下部導体113として機能している。二つの導体間では、非金属パイプ111は露出しており、この露出部111aにマイクロ波が照射される。なお、図1中では、同軸型共振キャビティ11の底壁が下部導体113として機能しているが、下部導体113を、別の部材として同軸型共振キャビティ11の底部に設けてもよい。
非金属パイプ111の露出部111aの領域においてプラズマを生成するために、マイクロ波供給手段14が、同軸型共振キャビティ11の側壁面の露出部111aに対応する位置に設けられている。このマイクロ波供給手段14は、マイクロ波を発振するマグネトロン141と、このマグネトロン141を作動させるためのマイクロ波電源142と、マグネトロン141に接続され、マグネトロン141から発振された共振周波数(例えば、2.45GHz)のマイクロ波を同軸型共振キャビティ11に供給するアンテナ143と、アンテナ143とマグネトロン141とをつなぐ同軸ケーブル144とからなる。マイクロ波電源142を作動せしめると、マグネトロン141からマイクロ波が発振され、このマイクロ波が同軸ケーブル144を通って、壁面に設けられたアンテナ143に到達する。そして、アンテナ143からマイクロ波が同軸型共振キャビティ11内に供給されると、非金属パイプ111上部から導入されている反応ガスが露出部111aの領域においてプラズマ状態に変化し、ガスの流路である非金属パイプ111の下部から、プラズマ化したガスとして反応ガス導入室12へ供給される。このように、本装置では、マイクロ波を伝播するための導波管を設けていないので、マイクロ波を発振すると、すぐにプラズマを生成できるため、ALD法の実施に適している。なお、図1中、アンテナ143を一つだけ設ける例を説明したが、2以上設けてもよい。また、上記したように上部導体112は可動であるので、その位置を変えて上部導体112と下部導体113との間の電界の発生状態を変えることで、プラズマの生成状態を変えることが可能である。
ところで、一般に、プラズマ生成空間においてプラズマが生成されると、プラズマ生成空間の電界分布が変化して共振周波数が変化し、プラズマの生成効率が悪くなってしまう。この場合に、マイクロ波供給手段を調整すると、マイクロ波発振と、プラズマ生成との間でタイムラグが生じることとなり、ALD法には適さない。
そこで、第1の成膜装置では、プラズマ生成の前後で共振周波数が変化しないように、同軸型共振キャビティ11内の高さLが、励振波長の1/2の整数倍となるように構成している。これは、同軸型共振キャビティ11の電界分布がプラズマ生成前にはTMモードになっているが、プラズマ生成後にはTEMモードになることに鑑みて、各モードにおける電気的等価回路からプラズマ生成前後の各共振周波数を求め、これらの共振周波数が等しくなるように計算することにより、得られたものである。上記構成により、プラズマ生成前後で、共振周波数の変化を抑えることが可能である。
このように同軸型共振キャビティ11内の高さLを設定してもなお、プラズマ生成後にキャビティ内の周波数がわずかながら変動する場合もあるので、第1の成膜装置のマイクロ波供給手段14に、励磁電流制御回路を設けることが好ましい。この制御回路は、同軸型共振キャビティ11内でのプラズマ発生前後の周波数をモニターして、この周波数が変化した場合に、変化分に対応する信号を受け取り、この信号に相当する電流を励磁電流としてマグネトロン141内の図示しない励磁コイルに送ることで供給するマイクロ波の波長が一定になるように、構成される。
また、同軸型共振キャビティ11内の周波数が変化した状態でマイクロ波を発振すると、プラズマ生成室内部で反射波が生じる場合には、この反射波を検出し、この検出した反射波と、発振したマイクロ波の進行波との位相差に相当する電圧を、マグネトロン内の陽極電極に重畳して印加して、共振周波数に近づくように動作する陽極電圧制御回路を設けてもよい。この場合、反射波はマイクロ波供給手段において熱に変換されるので、陽極電圧制御回路を設けた場合に反射波に起因する熱によって回路がダメージを受けないように注意する必要がある。さらに、下部導体113の中に、発振波長の4分の1の長さに相当するチョーク構造を設けて、露出部111aから漏洩されるマイクロ波を抑制するように構成しても良い。
このように第1の成膜装置は、同軸型共振キャビティ11内の高さLを励振波長の1/2の整数倍になるように構成し、一定の共振周波数を発振できるとともに、励磁電流制御回路及び陽極電圧制御回路を設けることで、プラズマ生成前後で仮に共振周波数がずれたとしても周波数を自動的にマッチングするように構成されている。さらに、第1の成膜装置は、マイクロ波発振とプラズマ生成にタイムラグが発生しないので、プラズマの生成を極めて短い間隔、例えば0.5秒くらいから制御でき、吸着工程及び改質工程を多数回繰り返して成膜するALD法に非常に適している。
この同軸型共振キャビティ11の非金属パイプ111内でプラズマにより励起された反応ガスは、反応ガス導入室12を経て、シャワーヘッド部13へ導入される。反応ガス導入室12は、例えばアルミ製であり、その内壁には、パーティクル発生防止のために石英製インナーを設けることが好ましい。この場合、図1中に示したように、ガス導入室12の内壁の下方領域(シャワーヘッド部13側)に石英製インナーを設けてもよいが、好ましくは、内壁全面に石英製インナーを設けることである。また、ラジカル状態のガスを失活させにくくするために、反応ガス導入室12の内壁表面をアルマイト加工してもよい。
また、反応ガス導入室12は、図示しない冷却手段によって、冷却してもよい。反応ガス導入室12とシャワーヘッド部13との間には、セラミックフランジ122(例えば、厚さ10mm)を設けてあり、固定具123及び124で固定されている。このセラミックフランジ122は、シャワーヘッド部13の熱により反応ガス導入室12が加熱されないように熱を遮断するために設けられたものであり、真空シール性、耐熱性、熱遮断性からアルミナセラミックであることが好ましい。
シャワーヘッド部13は、円盤状部材13aと、リング状部材13bと、第1のシャワー板13cと、第2のシャワー板13dとからなり、適宜、固定具123で固定されている。円盤状部材13aには、好ましくは、図示しないヒーター及び熱電対が設けられ、ヒーターにより、シャワーヘッド部13を所定の温度(例えば150℃くらい)になるように加熱し、この加熱された温度を熱電対で測定し、モニターできるように構成される。また、円盤状部材13aには、反応ガス導入室12と連通する開口部が形成されており、この開口部と、リング状部材13bの開口部とから、反応ガスが導入され、拡散される反応ガス拡散室131が構成されている。反応ガス拡散室131は、石英製のインナーが内壁全体に設けられ、その底面には、複数の反応ガス噴出孔132が形成されている。この反応ガス噴出孔132は、第1のシャワー板13c及び第2のシャワー板13dを貫通しシャワーヘッド部13の底面まで達している。
さらに、円盤状部材13aには、原料ガス導入装置に接続する原料ガス導入管133が設けられ、この原料ガス導入管133は、ガス通路134、即ちリング状部材13bの外周部に設けられたガス通路134a及び第1のシャワー板13cの外周部に設けられたガス通路134bを介して第2のシャワー板13dに形成された原料ガス拡散室135に接続されている。このガス通路134は、1以上の多段に構成され、各段は、2n−1(nは段数)で表される数のガス通路134a及び134bを有している。そして、ガス通路134は、前記原料ガス導入管133と1段目のガス通路134aとの接続位置から最終段のガス通路134bと原料ガス拡散室135との各接続位置までの距離が全て等しいように構成されている。このガス通路134について図2及び図3を用いて詳細に説明する。図2は、(a)リング状部材13b、(b)第1のシャワー板13c及び(c)第2のシャワー板13dの横断面図であり、図3は、原料ガス導入管133、ガス通路134及び原料ガス拡散室135の配置関係を説明するための説明図である。
ガス通路134は、リング状部材13bに設けられた円弧状の1つのガス通路134aと、第1のシャワー板13cの反応ガス噴出孔132が形成されている領域の周辺部に設けられた円弧状の2つのガス通路134bとからなる。ガス通路134aの中央上部には、原料ガス導入管133が接続されている。そして、ガス通路134aの両端の底部にはそれぞれ接続孔134cが形成され、この各接続孔134cは、第1のシャワー板13cに設けられたガス通路134bのそれぞれの中央上部に接続され、ガス通路134aとガス通路134bとは連通している。
また、ガス通路134bのそれぞれの両端の底部には、接続孔134dが形成され、この接続孔134dは、第2のシャワー板13dに設けられた原料ガス拡散室135の四隅の上部に接続され、原料ガス拡散室135に原料ガスが接続孔134dを介して均一に吐出されるように構成されている。
このように、前記原料ガス拡散室135と原料ガス導入管133とを接続するガス通路134は、2段構成であり、1段目のガス通路134aは、その中央に前記原料ガス導入管133が接続され、2段目のガス通路134bは、その中央に前段のガス通路134aの両端の底部に設けられた接続孔134cが接続されて前段のガス通路134aと連通し、かつ、その各ガス通路134bの両端の底部に形成された接続孔134dにより、原料ガス拡散室135に接続されて、1つのガス流路として構成されている。そして、このガス通路134では、原料ガス導入管133から各接続孔134dまでの距離はどれも等しくなるように構成されているので、原料ガスが同時に原料ガス拡散室に同量到達し、均一に原料ガス拡散室135に拡散できる。なお、図中では、ガス通路を2段構成として接続孔134dを4つ設けているが、ガス通路を3段以上に構成して接続孔134dの数を増やしてもよい。例えば、第1のシャワー板の底部に、第1のシャワー板と同様の反応ガス噴出孔を形成し、かつ、ガス通路を4つ形成した第3のシャワー板を設け、この第3のシャワー板の4つのガス通路の各中央上部に第1のシャワー板の接続孔が接続するようにし、この第3のシャワー板の各ガス通路の両端部に第2のシャワー板の原料ガス拡散室への接続孔をそれぞれ形成し、即ち、接続孔を8つ設け、それに併せて原料ガス拡散室の形状を設計して、より均等にガスが原料ガス拡散室内に拡散されるように構成してもよい。また、図中では原料ガス拡散室は四角形としたが、円形や他の多角形でもよい。
この原料ガス拡散室135には、原料ガス噴出孔136が設けられており、この原料ガス噴出孔136もシャワーヘッド部13の底面まで貫通している。この場合、均一に原料ガスが真空チャンバー内へ噴き出すように、噴出孔のコンダクタンスを小さくすることが好ましい。例えば、図1及び2に示す装置では、原料ガス噴出孔136を、孔径Φ0.7〜1mm程度、孔深さ10mm程度として、原料ガスを均一に真空チャンバー内へ供給できるように構成している。
反応ガス噴出孔132はシャワーヘッド部13の底面まで貫通しているので、このシャワーヘッド部13の底面には、反応ガス噴出孔132と、原料ガス噴出孔136とがそれぞれ一定の距離をあけてマトリクス状に並んでおり、これによって、基板上にかたよりなく原料ガス及び反応ガスが照射されるように構成されている。各原料ガス噴出孔136の中心間距離と各反応ガス噴出孔132の中心間距離とは、同じ距離(例えば、14mm)に設定されている。この場合、原料ガス噴出孔136の直径より反応ガス噴出孔132の直径の方が大きく、例えば、原料ガス噴出孔136の直径を1mmとすると、反応ガス噴出孔132の直径は5mmである。これは、改質工程においては反応ガスの流量の方が原料ガスの流量に比べて多いことによる。
このように構成されたシャワーヘッド部13では、非金属パイプ111から反応ガス導入室12を経てシャワーヘッド部13へ導入された反応ガスは、反応ガス拡散室131全体に広がり、各反応ガス噴出孔132を経て真空チャンバー内に供給される。また、原料ガス導入管133から導入された原料ガスは、ガス通路134にガス通路134aの中央部から導入され、ガス通路134aの左右に均等に分かれて接続孔134cを介して下段に形成された各ガス通路134bへ拡散する。そして、ガス通路134bを左右に均等に分かれて進み、接続孔134dから、原料ガス拡散室135へ均一に拡散し、その後、原料ガス拡散室135の底面の各原料ガス噴出孔136から真空チャンバー内に均一に供給される。
ところで、原料ガスは、原料が60℃を超えると熱分解を起こすことから、60℃以下の温度でガス化せしめ、搬送することが必要である。そのため、原料ガス導入装置は、図4及び図5に示すように構成されていることが好ましい。以下、図4及び図5を参照して説明する。
図4(a)及び(b)は、原料ガス導入装置15の構成を示す模式図である。原料Zr(BH)は、融点(28.7℃)より低い温度である−10℃〜25℃に保持し、特に−5℃〜5℃に保持することが好ましい。Zr(BH)は熱安定性が非常に悪いので、25℃より高いと、自己分解により原料タンクの中でZrBやBなどに分解してしまうからであり、他方、−10℃未満ではALDの原料として用いるには蒸気圧が2mmHg未満となり低すぎるからである。例えば、0℃(蒸気圧3.7mmHg)に保持されたタンク151内にメッシュの細かい網152を設け、その網の上に顆粒状の原料153を乗せ、バブリングガスとしてのAr、He等の不活性ガスを、マスフローコントローラー154を介してタンク151内の下方へ供給し、網152の下方から上方へと不活性ガスを原料153内を流し、このバブリングにより原料153を昇華させて、バブリングガスと共に原料ガスを原料ガス導入管133を介して原料ガス拡散室135内へ導入するか(図4(a))、又は例えば0℃程度に保持されたタンク151内に設けられた2枚の網152a及び152bの間に顆粒状の原料153を挟持させ、バブリングガスとしてのAr、He等の不活性ガスをマスフローコントローラー154を介してタンク151内の網152aから網152bへと原料内を流し、このバブリングにより原料153を昇華させて、バブリングガスと共に原料ガスを原料ガス導入管133及びガス通路134を介して原料ガス拡散室135内へ導入する(図4(b))。
原料ガスの導入はまた、低差圧マスフローを用いた図5に示す原料ガス導入装置15により、次のようにして行っても良い。すなわち、例えば0℃(蒸気圧3.7mmHg)程度に保持されたタンク151内へ原料を入れ、これを低差圧マスフローコントローラーのようなマスフローコントローラー154を用いて、原料153の気化ガスの流量を直接制御しながら原料ガス導入管133及びガス通路134を介して原料ガス拡散室135内へ導入する。この場合、原料ガスをチャンバーへ導入する際には、必ずチャンバー内の圧力を原料ガスの蒸気圧より低くする必要がある。例えば、原料タンクを0℃に冷却保温している場合、原料ガスの蒸気圧は3.7mmHgであるので、チャンバー圧力を3.7mmHgよりも低くする。
上記シャワーヘッド構造1に対向して真空チャンバー内に設けられた基板載置台に載置され、ZrB膜からなるバリア膜が成膜される成膜対象基板としては、以下のようなものがある。例えば、Si基板等の半導体装置において通常用いられる基板上に、スパッタ法やCVD法或いは塗布法等により、例えばP−SiO膜、BPSG膜、HDP−PSG膜等の絶縁膜や、P−SiOC膜や、ポーラスLow−k膜等の低誘電率膜を形成し、この絶縁膜や低誘電率膜を通常のエッチング条件でエッチングしてアスペクト比の高い微細なホール、トレンチ等を形成した基板があげられる。本発明によれば、ALD法により、このホール等の上部でバリア膜がオーバーハングすることもなく、ホール等の内面にカバレージ良く良質のバリア膜を形成することができる。
上記シャワーヘッド構造1を備えた第1の成膜装置を用いる本発明のバリア膜の形成方法は、基板上にホール、トレンチが形成されている絶縁膜を有する成膜対象物を真空チャンバー内に載置し、この成膜対象物の表面上で、ALD法を用いて、Zr(BH)ガスからなる原料ガスと、Hガスからなる反応ガスをプラズマにより励起して得られたガスとを反応させ、ホール、トレンチの内面を含めて絶縁膜上にZrB膜からなるバリア膜を形成する方法である。この場合、成膜対象物の基板温度を50℃以上300℃以下、好ましくは150℃以上200℃以下に設定してバリア膜を形成する。50℃より低温であると、温度が低すぎて膜を得ることができず、また、300℃より高温であると、カバレッジの良い膜を得ることができないからである。
本発明の成膜方法であるALD法のプロセスシーケンスとしては、図6のタイミングチャートに示したものが適している。
本発明の実施に用いる成膜装置の排気系としては、例えば、アルバック製ドライポンプPDR-90-CH(排気能力:1500L/min)(図示せず)と荏原製作所製ターボ分子ポンプTMP-1003LM(排気能力:1080L/sec)の二段の真空ポンプ(図示せず)を用いることができる。この場合、反応室とターボ分子ポンプの間に、MKS社製のバタフライタイプの圧力コントロールバルブを設置し、反応室内の圧力をバタフライバルブの開度を変える事で制御できるようにすることが好ましい。
はじめに、真空チャンバー内に成膜対象物を載置した後、真空チャンバー内を一定圧力(例えば、1Torr以上、好ましくは3Torr以上)に保持し、成膜対象物を所定温度(50〜300℃)に加熱する。その後、ALD成膜工程を開始する。先ず、排気能力を上げた状態(バタフライ開度100%)で反応ガスのHガスのみを非金属パイプ111へ1〜100sccm程度流す。反応ガスのHを1〜100sccm程度流すことで、反応ガスの配管ラインに原料ガスが逆流することを防止できる。
続いて吸着工程に入る。シャワーヘッド部13は、図7に示すように作動している。即ち、反応ガスG1を、非金属パイプ111及び反応ガス導入室12を通過させて、シャワーヘッド部13の反応ガス拡散室131に搬送し、反応ガス噴出孔132を経て反応ガスG1を真空チャンバー内に導入する。なお、吸着工程ではマイクロ波は発振していないので、反応ガスG1はプラズマ状態ではない。この反応ガスG1であるHガスを1〜100sccm流したままで、原料ガスG2であるZr(BH)ガスを原料ガス導入管133から導入し、原料ガス拡散室135を経て原料ガス噴出孔136から真空チャンバー内に導入して、成膜対象物上に吸着させる。
吸着工程において、原料ガスを導入するのに、図4(a)及び(b)に示すバブリングガスとしての不活性ガス(Ar、Heなど)でZr(BH)ガスの流量を間接的に制御する原料ガス導入装置15を用いる場合には、バブリングガスの流量を10〜500sccmの範囲内で導入してZr(BH)ガスの流量を間接的に制御する。10sccmより少ないと、含有される原料の量が少なすぎて成膜できず、また、500sccmより多いと、含有される原料が多すぎて効果的に成膜できないからである。この場合、チャンバー圧力は、10Torr以下に保つのが好ましい。10Torrより高いと真空チャンバー内部の圧力を制御する時間がかかりすぎて、ALD法には適さないからである。
他方、原料ガスを導入するのに、図5に示す低差圧マスフローを利用した装置で直接原料ガスの流量を制御する場合には、原料ガスの流量は、1〜100sccmである。原料ガスが1sccmより少ないと、原料ガスが少なすぎて実効的な成膜レートを得ることができず、100sccmより多いと、原料の消費量が多すぎて実用的なプロセスとしての採算がとれないからである。この場合、Zr(BH)ガスの熱的安定性の問題から原料:Zr(BH)の温度を−10℃〜25℃、好ましくは−5℃〜5℃の範囲に制御しているので、チャンバー圧力は、制御温度でのZr(BH)ガスの蒸気圧の値から10Torr以下とする。チャンバー内圧力は、好ましくは2Torr以下、より好ましくは0.1Torr以下になるように保持してもよい。
なお、吸着工程での圧力制御は、逆流防止用の反応ガスHを流した状態で、Zr(BH)ガス(バブリングガスを導入している場合には、原料ガスとバブリングガス)を真空チャンバーへ導入しながら、圧力コントロールバルブの開度を調整して制御する。
所定時間、原料ガスであるZr(BH)ガス(バブリングガスを導入している場合は、原料ガス及びバブリングガス)を真空チャンバーへ供給した後、原料ガスの供給を停止し、吸着工程を終了する。逆流防止用のHガス(反応ガス)は停止しないで導入し続ける。原料ガスの停止と同時に圧力コントロールバルブの開度を100%にして引き切り状態にする。真空チャンバー内の圧力が、原料ガスの導入時(吸着工程)の圧力に比べ所定の値以下になった時点で改質工程に入る。
改質工程に入ると同時に、吸着工程から連続して導入され続けている反応ガスとしてのHガス流量を変化させて10〜500sccmにし、圧力コントロールバルブの開度を調整しチャンバー圧力を所定の圧力に制御すると同時に、マイクロ波を発振する。この場合に、マイクロ波の発振周波数(共振周波数)が例えば2.45GHzであれば、投入パワーは、0.1〜5kWである。投入パワーが0.1kWより小さいとプラズマパワーが小さく効果が少なく、5kWより大きいと放電管へのダメージが大きくなる場合があるからである。
この改質工程における装置の作動状態を図8に示す。この場合には、原料ガスG2の供給は停止しているが、反応ガスG1は供給されたままである。マイクロ波電源142によりマグネトロン141から発振されたマイクロ波をアンテナ143から同軸型共振キャビティ11内に供給して、非金属パイプ111中の反応ガスG1を、露出部111aの領域でプラズマ化せしめる。プラズマ状態になることで励起された反応ガスG3は、反応ガス導入室12及びシャワーヘッド部13の反応ガス拡散室131に導入される。そして、反応ガス拡散室131を介して反応ガス噴出孔132から真空チャンバー内へ導入され、成膜対象物上に吸着された原料ガスと反応し、膜を改質する。
改質工程での真空チャンバー圧力は、10Torr以下が好ましい。10Torrを超えるとチャンバー内部の圧力を制御する時間がかかりすぎて、ALD法には適さないからである。
所定時間後、マイクロ波の発振を停止し、改質工程を終了する。改質工程の終了と同時に反応ガスであるHガス流量を逆流防止用として1〜100sccmに変更し、かつ、圧力コントロールバルブの開度を100%にして引き切り状態にする。真空チャンバー内の圧力が、改質工程の圧力に比べ所定の値以下になった時点で、再び吸着工程に入る。
この吸着工程及び改質工程を数回〜数百回繰り返すことにより所望の膜厚を有するバリア膜を形成することができる。
本発明の成膜方法であるALD法のプロセスシーケンスとしては、他に図9のタイミングチャートに示したものが適している。以下、図9に示すタイミングチャートを説明する。
はじめに、真空チャンバー内に成膜対象物を載置した後、真空チャンバー内を一定圧力(例えば、1Torr以上、好ましくは3Torr以上)に保持し、成膜対象物を所定温度(50〜300℃)に加熱する。その後、ALD成膜工程を開始する。
まず、反応ガスとしてのHガスを非金属パイプ111へ1〜100sccm程度導入し、所定の圧力になるように圧力コントロールバルブの開度を調整すると同時に、マイクロ波供給手段14によりマイクロ波を発振して、プラズマを形成する。そして、このプラズマが形成され、反応ガスが水素ラジカルとなっている状態で、吸着工程を開始する。吸着工程においても、反応ガスとしてのHガスを1〜100sccm導入し、かつ、マイクロ波を発振して水素ラジカルを発生させているのは、反応ガスを導入することで反応ガスの配管ラインに原料ガスが逆流することを防ぎ、また、吸着工程でも水素ラジカルとZr(BH)ガスとを基板上で反応させ、より膜質を上げ、さらに、吸着工程及び改質工程の間の真空引き切り時間をできるだけ短くしてスループットアップを実現するためである。この場合、水素ラジカルと原料ガス:Zr(BH)ガスとが気相中で混ざってCVD現象が発生し、微細ホールでのステップカバレージが低下する可能性がある。そこで、成膜対象物の温度をより低温に保つ(50〜300℃)ことで、気相中でのCVD現象が顕著化しないように制御している。
マイクロ波発振のための投入パワーは、マイクロ波の発振周波数(共振周波数)が例えば2.45GHzである場合、0.1〜5kWである。この場合に、0.1kWより小さいとプラズマパワーが小さく効果が少なく、5kWより大きいと放電管へのダメージ大きくなるという問題がある。
原料ガスとしてのZr(BH)ガスを原料ガス導入管133から導入し、吸着工程を開始して、原料ガスを、原料ガス拡散室135を経て原料ガス噴出孔136から真空チャンバー内に導入して、成膜対象物上に吸着させる。即ち、吸着過程において、図10に示すようにシャワーヘッド構造1を作動させて、反応ガスG1を非金属パイプ111を通過させながら、マイクロ波発振によりプラズマ状態とし、プラズマ化された反応ガスG3を反応ガス導入室12及び反応ガス噴出孔132を通過させて真空チャンバーに導入する。
吸着工程において、原料ガスを導入するのに、図4(a)及び(b)に示すバブリングガスとしての不活性ガス(Ar、Heなど)でZr(BH)ガスの流量を間接的に制御する原料ガス導入装置15を用いる場合には、バブリングガスの流量を10〜500sccmで変化させてZr(BH)ガスの流量を間接的に制御する。この場合、チャンバー圧力は、10Torr以下に保つのが好ましい。10Torrより高いとチャンバー内部の圧力を制御する時間がかかりすぎて、ALD法には適さないからである。
他方、原料ガスを導入するのに、図5に示す低差圧マスフローを利用した原料ガス導入装置15で直接原料ガスの流量を制御して導入する場合には、原料ガスの流量は、1〜100sccmである。原料ガスが1sccmより少ないと、実効的な成膜レートが得られず、100sccmより多いと、原料の消費量が非常に多く実用的なプロセスとして採算が取れない。この場合、Zr(BH)ガスの熱的安定性の問題から原料のZr(BH)の温度を−10℃〜25℃、好ましくは−5℃〜5℃の範囲に制御しているので、チャンバー圧力は、制御温度でのZr(BH)ガスの蒸気圧の値から10Torr以下、好ましくは2Torr以下、より好ましくは0.1Torr以下になるように保持する。
なお、吸着工程での圧力制御は、逆流防止用の反応ガスHを流した状態で、Zr(BH)ガス(バブリングガスを導入している場合には、原料ガスとバブリングガス)をチャンバーへ導入しながら、圧力コントロールバルブの開度を調整し制御する。
所定時間、原料ガスであるZr(BH)ガス(バブリングガスも導入した場合は原料ガス及びバブリングガス)を真空チャンバーへ供給した後、原料ガスの供給を停止し、吸着工程を終了する。このとき反応ガスであるHガス及びマイクロ波の発振は停止しない。
原料ガスの停止と同時に改質工程に入る。なお、図6の場合と同様に、原料ガスの停止と同時に圧力コントロールバルブの開度を100%にして引き切り状態にし、真空チャンバー内の圧力が、原料ガスの導入時(吸着工程)の圧力に比べ所定の値以下になった時点で改質工程に入ってもよい。
改質工程では、まず、吸着工程から連続して導入され続けている反応ガスであるHガスの流量を変化させて10〜500sccmにし、圧力コントロールバルブの開度を調整しチャンバー圧力を所定の圧力に制御する。この改質工程における装置の作動状態を図8に示す。この場合には、原料ガスG2の供給は停止しているが、反応ガスG1は供給されたままである。マイクロ波は発振され続けており、マイクロ波電源142によりマグネトロン141から発振されたマイクロ波をアンテナ143から同軸型共振キャビティ11内に供給して、非金属パイプ111中の反応ガスG1を、露出部111aの領域でプラズマ化せしめる。このプラズマ状態になることで励起された反応ガスG3は、反応ガス導入室12及びシャワーヘッド部13の反応ガス拡散室131に導入される。そして、反応ガス拡散室131を介して反応ガス噴出孔132から真空チャンバー内へ導入され、成膜対象物上に吸着された原料ガスと反応し、より膜を改質する。改質工程での真空チャンバー圧力は、図6の場合と同様である。
所定時間後、マイクロ波の発振を続けたまま、反応ガスであるHガスの流量を1〜100sccmに変更すると同時に、原料ガスであるZr(BH)ガスを導入し、圧力コントロールバルブの開度を調整しチャンバーの圧力を吸着工程の所定の圧力に調整することで、改質工程を終了すると共に、次の吸着工程を開始する。
このような吸着工程及び改質工程を数回〜数百回繰り返すことにより所望の膜厚を有するバリア膜を形成することができる。
上記のいずれのプロセスの改質工程においても、反応ガスのラジカル状態をより長く維持するために、HガスにN及びNHから選ばれた少なくとも1つを混合させたもの、また、HガスにN及び/又はNHを混合させたものに、さらに不活性ガス(Ar、Heなど)を混合させたものを用いてもよい。N及び/又はNHを混合した場合、最終生成物であるバリア膜は、ZrBN膜である。Hガスに混合したガスの改質工程での流量はHガスと同様に各ガスとも10〜500sccmで変化させることができるが、装置の排気能力や真空ポンプへの負担の問題もあるため、改質工程時に導入するガスの総流量は装置に応じて適宜制御する。反応ガスの総流量は10〜1000sccm程度の範囲が好ましい。
上記したようにZrBN膜が形成されるのは、Zr−B結合に比べZr−N結合の方が安定であるため、反応ガス中にプラズマ励起された水素ラジカル以外に窒素ラジカルやアンモニアラジカルが存在すると、Zr−N結合が形成されるためである。したがって、Hガスに対してNHガスやNガスの割合を増やすことで、ZrBN膜中のZr−N結合の割合が増えることとなり、Hガスに対するNHやNガスの流量比に応じてZrBNの膜質が変化することとなる。
さらに、Bを、反応ガス拡散室131に設けられたガス導入口137から10〜1000sccm混合してもよい。Zr(BHが熱分解してできる生成物であるBを多量にチャンバーに入れることでZr(BHの分解を抑制し、Zr(BHを安定させることが可能である。
以下、本発明の成膜方法の実施に用いられる第2の成膜装置について説明する。
図11は、第2の成膜装置に用いられる第2のシャワーヘッド構造2の模式図であり、図1と同じ構成要素については、同一の参照符号を付してある。図1に示した第1の成膜装置で極めて多量に基板を処理して成膜を行うと、非金属パイプ111の内側が図12のSEM写真に示すようにエッチングされる場合がある。従って、非金属パイプ内のエッチングを抑制するために、この第2の成膜装置のシャワーヘッド構造2は、第1の成膜装置に用いられるシャワーヘッド構造1が有していなかった冷却手段を少なくとも一つ有する。即ち、第2のシャワーヘッド構造2は、第1のシャワーヘッド構造1とは、同軸型共振キャビティ内を冷却する冷却ガス導入手段21を有している点、及び非金属パイプ111を、冷却用流体を流せる流路を形成した非金属(例えば、アルミナや石英)製の2重パイプ22に変更している点が異なり、これらの冷却手段の少なくとも一つを有するものである。以下、変更点について説明する。
冷却ガス導入手段21は、ガス源211とガス源211に接続されたガス管212とガス管212に介設されたバルブ213とを有し、同軸型共振キャビティ11に付設されている。この冷却ガス導入手段21により、同軸型共振キャビティ11内部に冷却ガスを導入して内部を冷却ガスで満たすことができ、図示しない排気手段で冷却ガスを排気しながら循環させる。このようにして、同軸型共振キャビティ11内部の温度を一定に保ち、2重パイプ22の露出部111a付近を冷却することが可能である。
ガス源211から同軸型共振キャビティ11内へ導入される冷却ガスとしては、人体に影響がなくクリンルーム内に放出できる冷却ガス、例えば、ドライNガス、ドライArガス、ドライHeガス、ドライOガスなどを用いることができる。
次に、2重パイプ22について図13を用いて説明する。図13(a)は、2重パイプ22の縦断面図であり、図13(b)は、図13(a)中の線A−A’から見た断面図であり、図13(c)は図13(b)中の線B−B’間から見た断面図である。図13(a)に示したように、2重パイプ22は、その側壁が2重となっており、側壁221の内側には冷却用流体通路222が形成されている。この冷却用流体通路222の上壁には、流入口223及び流出口224が設けられている。そして、図13(b)に示したように、冷却用流体通路222には、2つの仕切り板225が挿入されており、図13(c)に示したように、この仕切り板225の下部には開口部226が設けられている。これにより、冷却用流体通路222の流入口223側の上流部222aと、流出口224側の下流部222bとは連通し、流入口223から流入した液体は、冷却用流体通路222の上流部222aを上から下へ流れ、開口部226を通過して、下流部222bに進入し、これを下から上へ満たし、流出口224から側壁221外部へ流出する。このように、冷却用流体通路222は2重パイプ内部のガス通路227の外周をほぼ全て覆っているので、ガス通路を上から下まで冷却することができる。なお、図中では、流入口223及び流出口224は2重パイプ22の上面に設けているが、それぞれ側面に設けてもよい。
この場合、冷却用流体は、マイクロ波の発振周波数に共振しない流体媒体であることが必要であり、例えば、発振周波数が2.45GHzの場合、3M社製・商品名フロリナートの、FC−87、FC−72、FC−84、FC−77、FC−75、FC−3283、FC−40、FC−43、FC−70、FC−5312や、アウジモント ソシエタ ペル アチオニ製・商品名ガルデン(登録商標)を用いることができる。また、エチレングリコールもしくはエチレングリコール主体とした液体媒体を用いることもできる。さらに、ドライNガス、ドライArガス、ドライHeガス、ドライOガスなどの気体を用いることもできる。
このように、冷却ガス導入手段21及び/又は2重パイプ22を設けたことで、2重パイプ22内部のガス通路227の内面のエッチングを抑制できるとともに、露出部111aの領域で形成されたプラズマ中の水素ラジカル等のラジカルが熱で失活することを防止して、ラジカルの生成効率をあげることが可能であり、ALD法を効率よく実施しうる。
上記第2の成膜装置を用いて、第1の成膜装置と同一の手順で本発明のALD法を実施することが可能である。この場合、ALD法を実施している間中、冷却ガス導入手段21により冷却ガスを導入し続け、及び/または、2重パイプ22により、ガス通路227を冷却し続ける。このように冷却しながらALD法を実施すると、図12に示したようなガス通路227内面のエッチングが抑制されると共に水素ラジカルの生成効率があがる。水素ラジカル等のラジカルの生成効率が上がってもガス通路227内面がエッチングされないのは、内壁が十分に冷却されているからである。
以下、実施例により本発明の成膜方法について詳細に説明する。
本実施例では、図6に示すプロセスシーケンスで、第1の成膜装置を用いて成膜を行った。吸着工程においては、時間:5秒、反応ガス(Hガス):100sccm、原料ガス(Zr(BH)ガス)用のバブリングガス(Ar):100sccm、排気:1000L/secの条件で、改質工程においては、時間:25秒、反応ガス:100sccm、マイクロ波投入パワー:0.5kW、排気:1000L/secの条件で成膜を行い、それぞれ24回繰り返し、基板S上に成膜した。得られた膜は、厚さ10μmのZrB膜であった。
図9に示すプロセスシーケンスで、第1の成膜装置を用いて成膜を行った。吸着工程においては、時間:5秒、反応ガス(Hガス):100sccm、原料ガス(Zr(BH)ガス)用のバブリングガス(Ar):100sccm、マイクロ波投入パワー:0.5kW、排気:1000L/secの条件で、改質工程においては、時間:25秒、反応ガス:100sccm、マイクロ波投入パワー:0.5kW、排気:1000L/secの条件で成膜を行い、それぞれ24回繰り返し、基板S上に成膜した。得られた膜は、厚さ10μmのZrB膜であった。
図6に示すプロセスシーケンスで、第2の成膜装置を用いて成膜を行った。時間:5秒、反応ガス(Hガス):100sccm、原料ガス(Zr(BH)ガス)用のバブリングガス(Ar):100sccm、排気:1000L/secの条件で、改質工程においては、時間:25秒、反応ガス:100sccm、マイクロ波投入パワー:0.5kW、排気:1000L/secの条件で成膜を行い、それぞれ24回繰り返し、基板S上に成膜した。また、この成膜の間中、同軸型共振キャビティ11の内部及び2重パイプ22を冷却した。得られた膜は、厚さ10μmのZrB膜であり、図12に示したようなガス通路227内面のエッチングが抑制されていた。
本実施例では、図6に示すプロセスシーケンスで、第1の成膜装置を用いて成膜を行った。吸着工程においては、時間:5秒、反応ガス(HガスにNを混合させたもの):100sccm、原料ガス(Zr(BH)ガス)用のバブリングガス(Ar):100sccm、排気:1000L/secの条件で、改質工程においては、時間:25秒、反応ガス:100sccm、マイクロ波投入パワー:0.5kW、排気:1000L/secの条件で成膜を行い、それぞれ24回繰り返し、基板S上に成膜した。得られた膜は、厚さ10μmのZrBN膜であった。
本発明によれば、ALD法に適した装置を利用して低温で吸着工程及び反応工程を実施することにより、アスペクト比の高い微細なホール、トレンチ等の上部でのZrB膜又はZrBN膜のオーバーハングもなく、これらのホール、トレンチ等にカバレージ良くZrB膜又はZrBN膜からなるバリア膜を形成することができる。したがって、本発明は、半導体技術において利用可能である。
本発明の実施に用いられる成膜装置のシャワーヘッド構造1を説明するための断面模式図である。 (a)リング状部材13b、(b)第1のシャワー板13c及び(c)第2のシャワー板13dの横断面図である。 原料ガス導入管133、ガス通路134及び原料ガス拡散室135の配置関係を説明するための説明図である。 本発明における原料ガスの生成方法に関し、(a)はその一例を説明するための模式的構成図であり、(b)は別の例を説明するための模式的構成図である。 本発明における原料ガスの生成方法を説明するためのさらに別の例を示す模式的構成図である。 本発明の実施の態様にかかるプロセスシーケンスを示すグラフである。 吸着工程におけるシャワーヘッド構造1の作動を示す模式的構成図である。 改質工程におけるシャワーヘッド構造1の作動を示す模式的構成図である。 本発明の別の実施の態様にかかるプロセスシーケンスを示すグラフである。 本発明の別の実施の態様にかかる吸着工程におけるシャワーヘッド構造1の作動を示す模式的構成図である。 第2の成膜装置のシャワーヘッド構造2を説明するための断面模式図である。 非金属パイプ内面がエッチングされた状態を示すSEM写真である。 2重パイプ22について説明する断面模式図であり、(a)は、2重パイプ22の縦断面図であり、(b)は、図13(a)中の線A−A’からみた断面図であり、(c)は図13(b)中の線B−B’からみた断面図である。
符号の説明
1 シャワーヘッド構造
2 シャワーヘッド構造
11 同軸型共振キャビティ
12 ガス導入室
13 シャワーヘッド部
13a 円盤状部材
13b リング状部材
13c 第1のシャワー板
13d 第2のシャワー板
14 マイクロ波供給手段
15 原料ガス導入装置
111 非金属パイプ
111a 露出部
112 上部導体
113 下部導体
122 セラミックフランジ
123,124 固定具
131 反応ガス拡散室
132 反応ガス噴出孔
133 原料ガス導入管
134 ガス通路
134a ガス通路
134b ガス通路
134c 接続孔
134d 接続孔
135 原料ガス拡散室
136 原料ガス噴出孔
137 ガス導入口
141 マグネトロン
142 マイクロ波電源
143 アンテナ
144 同軸ケーブル
151 タンク
152 網
152a,152b 網
153 原料
154 マスフローコントローラー
211 ガス源
212 ガス管
213 バルブ
221 側壁
222 冷却用流体通路
222a 上流部
222b 下流部
223 流入口
224 流出口
225 板
226 開口部
227 ガス通路
G1 反応ガス
G2 原料ガス
G3 反応ガス

Claims (8)

  1. 反応ガスを導入するための非金属パイプの外周の上部及び下部に離間して設けられた導体を備えた同軸型共振キャビティと、マイクロ波供給回路とからなるプラズマ生成手段であって、前記同軸型共振キャビティ内部の高さが励振波長の1/2の整数倍であり、非金属パイプの一方の端から注入されたガスが、非金属パイプの前記導体を設けていない領域でマイクロ波により励起され、他方の端からプラズマ化して放出されるように構成されたプラズマ生成手段を備えた成膜装置を用いて、ALD法によりバリア膜を形成するバリア膜の形成方法において、
    ホール、トレンチが形成されている絶縁膜を有する成膜対象物を前記成膜装置の真空チャンバー内に載置し、この真空チャンバー内にZr(BH)ガスからなる原料ガスとH ガスからなる反応ガスとを供給して絶縁膜表面に吸着させる吸着工程と、原料ガスの供給を止めて反応ガスを連続して供給し、この反応ガスを前記プラズマ生成手段によりプラズマ化し、このプラズマ化した反応ガスを前記吸着工程で絶縁膜表面に吸着させた原料ガスと反応させて改質を行う改質工程と、を繰り返し行うことによって、ホール、トレンチの内面を含めて絶縁膜上にZrB膜からなるバリア膜を形成することを特徴とするバリア膜の形成方法。
  2. 反応ガスを導入するための非金属パイプの外周の上部及び下部に離間して設けられた導体を備えた同軸型共振キャビティと、マイクロ波供給回路とからなるプラズマ生成手段であって、前記同軸型共振キャビティ内部の高さが励振波長の1/2の整数倍であり、非金属パイプの一方の端から注入されたガスが、非金属パイプの前記導体を設けていない領域でマイクロ波により励起され、他方の端からプラズマ化して放出されるように構成されたプラズマ生成手段を備えた成膜装置を用いて、ALD法によりバリア膜を形成するバリア膜の形成方法において、
    ホール、トレンチが形成されている絶縁膜を有する成膜対象物を前記成膜装置の真空チャンバー内に載置し、この真空チャンバー内にZr(BH ) ガスからなる原料ガスと前記プラズマ生成手段により ガスからなる反応ガスをプラズマ化して得られたガスとを供給して絶縁膜表面に吸着させる吸着工程と、原料ガスの供給を止め前記プラズマ生成手段によりプラズマ化た反応ガスを連続して供給し、このプラズマ化した反応ガスを前記吸着工程で絶縁膜表面に吸着した原料ガスと反応させ改質を行う改質工程とを繰り返し行うことによって、ホール、トレンチの内面を含めて絶縁膜上にZrB膜からなるバリア膜を形成することを特徴とするバリア膜の形成方法。
  3. 反応ガスを導入するための非金属パイプの外周の上部及び下部に離間して設けられた導体を備えた同軸型共振キャビティと、マイクロ波供給回路とからなるプラズマ生成手段であって、前記同軸型共振キャビティ内部の高さが励振波長の1/2の整数倍であり、非金属パイプの一方の端から注入されたガスが、非金属パイプの前記導体を設けていない領域でマイクロ波により励起され、他方の端からプラズマ化して放出されるように構成されたプラズマ生成手段を備えた成膜装置を用いて、ALD法によりバリア膜を形成するバリア膜の形成方法において、
    ホール、トレンチが形成されている絶縁膜を有する成膜対象物を前記成膜装置の真空チャンバー内に載置し、この真空チャンバー内にZr(BH)ガスからなる原料ガスとH ガスにN 及びNHから選ばれた少なくとも1つを混合した反応ガス供給して絶縁膜表面に吸着させる吸着工程と、原料ガスの供給を止めて反応ガスを連続して供給し、この反応ガスを前記プラズマ生成手段によりプラズマ化し、このプラズマ化した反応ガスを前記吸着工程で絶縁膜表面に吸着させた原料ガスと反応させて改質を行う改質工程と、を繰り返し行うことによって、ホール、トレンチの内面を含めて絶縁膜上にZrBN膜からなるバリア膜を形成することを特徴とするバリア膜の形成方法。
  4. 反応ガスを導入するための非金属パイプの外周の上部及び下部に離間して設けられた導体を備えた同軸型共振キャビティと、マイクロ波供給回路とからなるプラズマ生成手段であって、前記同軸型共振キャビティ内部の高さが励振波長の1/2の整数倍であり、非金属パイプの一方の端から注入されたガスが、非金属パイプの前記導体を設けていない領域でマイクロ波により励起され、他方の端からプラズマ化して放出されるように構成されたプラズマ生成手段を備えた成膜装置を用いて、ALD法によりバリア膜を形成するバリア膜の形成方法において、
    ホール、トレンチが形成されている絶縁膜を有する成膜対象物を前記成膜装置の真空チャンバー内に載置し、この真空チャンバー内にZr(BH ) ガスからなる原料ガスと、 ガスにN 及びNH から選ばれた少なくとも1つを混合した反応ガスを前記プラズマ生成手段によりプラズマ化して得られたガスとを供給して絶縁膜表面に吸着させる吸着工程と、原料ガスの供給を止め前記プラズマ生成手段によりプラズマ化た反応ガスを連続して供給し、このプラズマ化した反応ガスを前記吸着工程で絶縁膜表面に吸着した原料ガスと反応させ改質を行う改質工程とを繰り返し行うことによって、ホール、トレンチの内面を含めて絶縁膜上にZrBN膜からなるバリア膜を形成することを特徴とするバリア膜の形成方法。
  5. 前記反応ガスの流量を、吸着工程と改質工程とで異なる流量としてバリア膜を形成することを特徴とする請求項1〜4のいずれか1項に記載のバリア膜の形成方法。
  6. 前記成膜対象物である基板を50〜300℃に加熱しながらバリア膜を形成することを特徴とする請求項1〜のいずれか1項に記載のバリア膜の形成方法。
  7. 前記同軸型共振キャビティ内に冷却ガスを導入し、非金属パイプの導体を設けていない領域を冷却しながらバリア膜を形成することを特徴とする請求項1〜のいずれか1項に記載のバリア膜の形成方法。
  8. 前記非金属パイプの側壁を2重にし、この側壁間に冷却用流体を循環させて非金属パイプを冷却しながらバリア膜を形成することを特徴とする請求項1〜のいずれか1項に記載のバリア膜の形成方法。
JP2008543121A 2006-11-09 2007-11-08 バリア膜の形成方法 Expired - Fee Related JP5275038B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008543121A JP5275038B2 (ja) 2006-11-09 2007-11-08 バリア膜の形成方法

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2006304485 2006-11-09
JP2006304485 2006-11-09
JP2007047943 2007-02-27
JP2007047943 2007-02-27
JP2008543121A JP5275038B2 (ja) 2006-11-09 2007-11-08 バリア膜の形成方法
PCT/JP2007/071720 WO2008056742A1 (fr) 2006-11-09 2007-11-08 Procédé de fabrication de film barrière

Publications (2)

Publication Number Publication Date
JPWO2008056742A1 JPWO2008056742A1 (ja) 2010-02-25
JP5275038B2 true JP5275038B2 (ja) 2013-08-28

Family

ID=39364553

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008543121A Expired - Fee Related JP5275038B2 (ja) 2006-11-09 2007-11-08 バリア膜の形成方法

Country Status (6)

Country Link
US (1) US8084368B2 (ja)
JP (1) JP5275038B2 (ja)
KR (1) KR101064354B1 (ja)
CN (1) CN101536154B (ja)
TW (1) TWI412080B (ja)
WO (1) WO2008056742A1 (ja)

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007105411A1 (ja) * 2006-03-07 2007-09-20 University Of The Ryukyus プラズマ発生装置及びそれを用いたプラズマ生成方法
JP2008211079A (ja) * 2007-02-27 2008-09-11 Ulvac Japan Ltd バリア膜の形成方法及びバリア膜、並びに多層配線構造の作製方法及び多層配線構造
JP2008211090A (ja) * 2007-02-27 2008-09-11 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置
KR101181389B1 (ko) 2007-02-27 2012-09-19 가부시키가이샤 알박 반도체 소자의 제조 방법 및 반도체 소자의 제조 장치
JP2010010625A (ja) * 2008-06-30 2010-01-14 Ulvac Japan Ltd 半導体装置の製造装置及び半導体装置の製造方法
JP5389386B2 (ja) * 2008-06-30 2014-01-15 株式会社アルバック 半導体装置の製造方法
JP2010010624A (ja) * 2008-06-30 2010-01-14 Ulvac Japan Ltd 半導体装置の製造装置及び半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5379246B2 (ja) * 2010-01-12 2013-12-25 株式会社アルバック 半導体装置の製造方法、及び成膜装置
TWI493063B (zh) * 2010-08-19 2015-07-21 Hon Hai Prec Ind Co Ltd 塗層、具有該塗層的被覆件及該被覆件的製備方法
JP5650479B2 (ja) * 2010-09-27 2015-01-07 東京エレクトロン株式会社 電極及びプラズマ処理装置
JP5627984B2 (ja) * 2010-10-15 2014-11-19 東京エレクトロン株式会社 成膜方法、成膜装置及び半導体装置の製造方法
KR101657341B1 (ko) * 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000012283A (ja) * 1998-06-22 2000-01-14 Mitsubishi Heavy Ind Ltd プラズマ生成装置
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
JP2004006856A (ja) * 2002-05-06 2004-01-08 Sharp Corp 金属バリア層と銅との間の密着促進剤として用いられる超薄膜タングステン金属膜および超薄膜タングステン金属膜を用いた基板に銅薄膜を密着させる方法
JP2004296490A (ja) * 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
JP2005175466A (ja) * 2003-11-26 2005-06-30 Air Products & Chemicals Inc 反応器表面から物質を除去するための方法、装置及び混合物
JP2005191018A (ja) * 2005-03-25 2005-07-14 Aet Japan:Kk マイクロ波プラズマ発生装置
JP2006009144A (ja) * 2004-05-21 2006-01-12 Ulvac Japan Ltd 真空成膜装置
JP2006057162A (ja) * 2004-08-23 2006-03-02 Ulvac Japan Ltd バリア膜の形成方法
JP2008098522A (ja) * 2006-10-13 2008-04-24 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10255998A (ja) 1997-03-06 1998-09-25 Toshiba Corp マイクロ波励起プラズマ処理装置
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
TW504846B (en) * 2000-06-28 2002-10-01 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP4908738B2 (ja) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法
EP1667217A1 (en) * 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
JP4265762B2 (ja) 2003-09-08 2009-05-20 キヤノンアネルバ株式会社 プラズマ処理装置
KR100613450B1 (ko) * 2004-12-02 2006-08-21 주식회사 하이닉스반도체 반도체 장치의 비트라인 배리어 금속층 및 그 제조방법

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000012283A (ja) * 1998-06-22 2000-01-14 Mitsubishi Heavy Ind Ltd プラズマ生成装置
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
JP2004006856A (ja) * 2002-05-06 2004-01-08 Sharp Corp 金属バリア層と銅との間の密着促進剤として用いられる超薄膜タングステン金属膜および超薄膜タングステン金属膜を用いた基板に銅薄膜を密着させる方法
JP2004296490A (ja) * 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
JP2005175466A (ja) * 2003-11-26 2005-06-30 Air Products & Chemicals Inc 反応器表面から物質を除去するための方法、装置及び混合物
JP2006009144A (ja) * 2004-05-21 2006-01-12 Ulvac Japan Ltd 真空成膜装置
JP2006057162A (ja) * 2004-08-23 2006-03-02 Ulvac Japan Ltd バリア膜の形成方法
JP2005191018A (ja) * 2005-03-25 2005-07-14 Aet Japan:Kk マイクロ波プラズマ発生装置
JP2008098522A (ja) * 2006-10-13 2008-04-24 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JPN6012041282; Junghwan Sung etc: 'Remote-plasma chemical vapor deposition of conformal ZrB2 filmsat low temperature: A promising diffu' JOURNAL OF APPLIED PHYSICS VOLUME 91, NUMBER 6, 20020315, 3904-3907, American Institute of Physics *

Also Published As

Publication number Publication date
US8084368B2 (en) 2011-12-27
TW200836265A (en) 2008-09-01
CN101536154B (zh) 2010-08-11
WO2008056742A1 (fr) 2008-05-15
US20100068891A1 (en) 2010-03-18
CN101536154A (zh) 2009-09-16
JPWO2008056742A1 (ja) 2010-02-25
KR20090067201A (ko) 2009-06-24
TWI412080B (zh) 2013-10-11
KR101064354B1 (ko) 2011-09-14

Similar Documents

Publication Publication Date Title
JP5275038B2 (ja) バリア膜の形成方法
JP5179476B2 (ja) 成膜装置
US20240162037A1 (en) Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
KR102209666B1 (ko) 그래핀 구조체의 형성 방법 및 형성 장치
US9373522B1 (en) Titanium nitride removal
US8334218B2 (en) Method of forming non-conformal layers
US20140273451A1 (en) Tungsten deposition sequence
TW201432085A (zh) 使用高密度電漿之金屬處理
US8435882B2 (en) Film forming method for a semiconductor
JP2008211079A (ja) バリア膜の形成方法及びバリア膜、並びに多層配線構造の作製方法及び多層配線構造
JP2008297597A (ja) シャワーヘッド
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
TWI609095B (zh) 用於氮化錳整合之方法
JP2019102508A (ja) ボロン系膜の形成方法および形成装置
US20240170254A1 (en) Batch processing chambers for plasma-enhanced deposition
TWI381445B (zh) 用來形成膜之電漿處理方法及以此方法製成之電子元件
JP2004197196A (ja) 多層膜処理装置及び多層膜処理方法
US20170092588A1 (en) Film forming method, semiconductor device manufacturing method, and semiconductor device
JP2004266294A (ja) 絶縁膜の作成方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120814

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121010

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130515

R150 Certificate of patent or registration of utility model

Ref document number: 5275038

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees