CN101536154A - 遮蔽膜的形成方法 - Google Patents

遮蔽膜的形成方法 Download PDF

Info

Publication number
CN101536154A
CN101536154A CNA2007800413958A CN200780041395A CN101536154A CN 101536154 A CN101536154 A CN 101536154A CN A2007800413958 A CNA2007800413958 A CN A2007800413958A CN 200780041395 A CN200780041395 A CN 200780041395A CN 101536154 A CN101536154 A CN 101536154A
Authority
CN
China
Prior art keywords
gas
film
plasma
aforementioned
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800413958A
Other languages
English (en)
Other versions
CN101536154B (zh
Inventor
畠中正信
石川道夫
津曲加奈子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of CN101536154A publication Critical patent/CN101536154A/zh
Application granted granted Critical
Publication of CN101536154B publication Critical patent/CN101536154B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种使用成膜装置形成由ZrB2膜构成的遮蔽膜的形成方法,该成膜装置具有等离子生成手段,由同轴型空腔谐振器,其配置有在用来导入反应气体的非金属导管的周围分隔设置的导体,以及用来激励前述同轴型空腔谐振器的微波供给电路构成;前述同轴型空腔谐振器内部的高度为激励波长的1/2的整数倍,从非金属导管的一端注入的气体在非金属导管未被前述导体覆盖的位置上被微波激活,等离子化后从另一端释放出。

Description

遮蔽膜的形成方法
技术领域
本发明涉及遮蔽膜的形成方法。
背景技术
近年来,随着半导体集成电路的图形细微化,有人建议采用将Cu及Al等配线材料埋入纵横尺寸比高的细微的导通孔及沟槽等处的所谓埋入配线结构。
当采用上述埋入配线结构的情况下,例如当用铜作为主配线材料时,会产生铜容易扩散到绝缘膜中的麻烦。为此,通过在绝缘膜和配线材料之间设置一层导电性的遮蔽膜来抑制或防止铜的扩散。关于该遮蔽膜的形成有多种方法,例如使用PVD法、MOCVD法及ALD法沉积出Ta、TiN、TaN等材料层形成遮蔽膜的方法,这些方法早已众所周知(参照专利文献1)。
上述ALD法是使某种前驱体吸附到基板表面(吸附工序),在该前驱体已被吸附的状态下,通过提供另一种前驱体,使两种前驱体在基板表面上彼此接触,并发生反应(改性工序),通过反复进行该工序即可形成所需的金属膜。一般说来,该ALD法具有比CVD法温度低、且具有成膜敷层良好的优点。
然而,众所周知,ZrB2膜是一种比Ta、TiN遮蔽性更好的膜。该ZrB2膜若用Zr(BH4)4则可根据下述反应式(1)成膜。
Zr(BH4)4→ZrB2+B2H6+5H2.....(1)
上述式(1)情况下采用的是利用Si基板本身的热量直接热分解原料在基板上形成ZrB2膜的方法,但要想得到良好的ZrB2膜,需将基板加热到550℃以上的高温,因而并不理想。
与之相对应,在原料中添加氢原子团,利用该氢原子团以及Si基板上的热使原料在低温下(300~350℃)发生反应,在基板上形成ZrB2膜的CVD法早已众所周知(参照非专利文献1)。
要想添加此种氢原子团,需激活氢气。作为此种气体激活装置,使用波导管导入微波的微波激活等离子处理装置早已众所周知(参照专利文献2)。
专利文献1:特开2004—6856号公报(权利要求3、图4等)
专利文献2:特开平10—255998号公报(权利要求1、图1等)
非专利文献1:J.Appl.Phys.,Vol.91,No.6,15 March 2002,pp.3904-3907(p.3904)
发明内容
(发明准备解决的课题)
然而,若为了形成ZrB2的遮蔽膜而利用上述式(1)的反应直接热分解原料,由于成膜温度过高,因而存在作为半导体装置的配线材料无法使用Cu及Al的问题。
此外,由于上述微波激活等离子处理装置是用波导管传播微波的,从产生微波到生成等离子需要一定时间,因而存在无法用于多次反复进行吸附工序和改性工序的不适于ALD法的问题。
为此,本发明的课题在于解决上述现有技术的问题,提供一种成膜方法,其利用使用了生成等离子不需要多少时间的微波激活等离子处理装置的ALD法,在低温工艺条件下,不会在细微的通孔、沟槽等的上部桥架出遮蔽膜,可在这些通孔、沟槽的内表面上敷层良好地并高效地形成Zr B2遮蔽膜。
(解决课题的手段)
本发明的遮蔽膜的形成方法,其特征在于:具有等离子生成手段,其由同轴型空腔谐振器和微波供给电路构成,该空腔谐振器配置有分隔设置在导入反应气体的非金属导管外周上部及下部的导体;前述同轴型空腔谐振器内部的高度为激励波长的1/2的整数倍;其使用具有由非金属导管的一端注入的气体在非金属导管未设置前述导体的区域内被微波激活、从另一端呈等离子化释放的等离子生成手段的成膜装置,将已形成通孔、沟槽的带绝缘膜的成膜对象物放置在该成膜装置的真空容器内,利用ALD法使由Zr(BH4)4构成的原料气体与由H2气体构成的反应气体经前述等离子生成手段等离子化后得到的气体发生反应,在该成膜对象物的表面包括通孔、沟槽内表面在内的绝缘膜上形成由ZrB2膜构成的遮蔽膜。若使用此种装置进行成膜,可在低温工艺条件下,在细微通孔、沟槽的内表面上敷层良好地且高效地形成ZrB2遮蔽膜。
在本发明的遮蔽膜形成方法中,最好通过反复进行下述工序,在包括通孔、沟槽内表面在内的绝缘膜上形成由ZrB2膜构成的遮蔽膜:吸附工序,其通过提供前述原料气体和前述反应气体,使之吸附到已形成通孔、沟槽的带绝缘膜的成膜对象物上;改性工序,其停止供给原料气体,仅连续供给反应气体,使该反应气体由被前述等离子生成手段等离子化,使该等离子化的反应气体与吸附在成膜对象物上的原料气体发生反应进行改性。
此外,在本发明的遮蔽膜形成方法之中,最好通过反复进行下述工序,在包括通孔、沟槽内表面在内的绝缘膜上形成由ZrB2膜构成的遮蔽膜:吸附工序,其通过提供前述原料气体以及被前述等离子生成手段等离子化的前述反应气体,使之吸附到已形成通孔、沟槽的带绝缘膜的成膜对象物上;改性工序,其停止供给原料气体,仅连续供给被前述等离子生成手段等离子化的反应气体的同时,使之与吸附到成膜对象物上的原料气体发生反应进行改性。
本发明的遮蔽膜的形成方法,其特征在于:具有等离子生成手段,其由同轴型空腔谐振器和微波供给电路构成,该空腔谐振器配置有分隔设置在导入反应气体的非金属导管外周上部及下部的导体;前述同轴型空腔谐振器内部的高度为激励波长的1/2的整数倍;其使用具有由非金属导管的一端注入的气体在非金属导管未设置前述导体的区域内被微波激活、从另一端呈等离子化释放的等离子生成手段的成膜装置,将已形成通孔、沟槽的带绝缘膜的成膜对象物放置在该成膜装置的真空容器内,利用ALD法使由Zr(BH4)4构成的原料气体与氢气中混合了从N2及NH3中选择出的至少一种的反应气体被前述等离子生成手段等离子化的气体发生反应,在该成膜对象物的表面包括通孔、沟槽内表面在内的绝缘膜上形成由ZrBN膜构成的遮蔽膜。通过使用在氢气中混合了从N2及NH3中选择出的至少一种,可更加长时间地保持等离子化反应气体的状态。若使用所述反应气体,通过所述装置进行成膜,可在低温工艺条件下,在细微通孔、沟槽的内表面上敷层良好地且高效地形成ZrBN遮蔽膜。
在此情况下,最好通过反复进行下述工序,在包括通孔、沟槽内表面在内的绝缘膜上形成由ZrBN膜构成的遮蔽膜:吸附工序,其通过提供前述原料气体和前述反应气体,使之吸附到已形成通孔、沟槽的带绝缘膜的成膜对象物上;改性工序,其停止供给原料气体,仅连续供给反应气体,使该反应气体被前述等离子生成手段等离子化,使该等离子化的反应气体与吸附在成膜对象物上的原料气体发生反应进行改性。此外,最好通过反复进行下述工序,在包括通孔、沟槽内表面在内的绝缘膜上形成由ZrBN膜构成的遮蔽膜:吸附工序,其通过提供前述原料气体以及被前述等离子生成手段等离子化的前述反应气体,使之吸附到已形成通孔、沟槽的带绝缘膜的成膜对象物上;改性工序,其停止供给原料气体,仅连续供给被前述等离子生成手段等离子化的反应气体的同时,使之与吸附到成膜对象物上的原料气体发生反应进行改性。
最好前述反应气体在吸附工序和改性工序中以不同流量形成遮蔽膜。最好一边把作为前述成膜对象物加热到50~300℃,一边形成遮蔽膜。
此外,最好将冷却气体导入前述同轴型空腔谐振器内,边冷却非金属导管内未设置导体的区域边形成遮蔽膜。此外,最好将前述非金属导管的侧壁设定为两层,通过使冷却用流体在该侧壁间循环,边冷却非金属导管边形成遮蔽膜。若采用这些方法进行冷却,即可抑制非金属导管内壁上的腐蚀,提高原子团的生成效率。
(发明效果)
若采用本发明,具有下述效果:使用具有微波激活的等离子生成手段的成膜装置,通过用ALD法在低温下实施吸附工序及改性工序,即不会在纵横尺寸比高的细微的通孔、沟槽等的上部形成桥架遮蔽膜地在这些通孔、沟槽等处敷层良好地形成遮蔽膜。
具体实施方式
下面首先说明本发明的成膜方法中使用的第1成膜装置。第1成膜装置由下述各部分构成:底部配置有放置成膜对象物的承载台的真空容器,以及在该真空容器的顶部以与成膜对象物相向的形态设置的喷头结构。下面参照图1详细说明该喷头结构。
喷头结构1由上部的同轴型空腔谐振器11、连接在同轴型谐振腔11底部上的反应气体导入室12、以及与反应气体导入室12连接的喷头部13构成。
同轴型空腔谐振器11例如用铜或铝制成,在该同轴型空腔谐振器11内设有贯穿空腔谐振器顶板和底板的非金属导管111。在该非金属导管111的上部经流量控制手段与未图示的反应气体的气源连接。作为该非金属导管111,可使用石英管、蓝宝石管或氧化铝管,但为了进一步减少微粒,最好使用蓝宝石管或氧化铝管。
在该非金属导管111的上部,以覆盖其周围的形态设有同心圆形的可灵活移动的上部导体112,此外,在该上部导体112的下方,同轴型空腔谐振器111的底板具有作为下部导体113的功能。在该两个导体间非金属导管111外露,该外露部111a可受微波照射。而在图1中,同轴型空腔谐振器11的底板具有下部导体113的功能,但也可用别的部件作为下部导体113设置在同轴型空腔谐振器11的底部。
为了在非金属导管111的外露部111a区域内生成等离子,在同轴型空腔谐振器11的侧壁面与外露部111a对应的位置上设置了微波供给手段14。该微波供给手段14由下述各部分构成:振荡出微波的磁控管141、使该磁控管141动作的微波电源142、与磁控管141连接,将磁控管141振荡出的谐振频率(例如2.45GHz)的微波提供给同轴型空腔谐振器11的天线143、连接天线143和磁控管141的同轴电缆144。一个使微波电源142动作,磁控管141即振荡出微波,该微波经同轴电缆144到达设置在壁面上的天线143。并且微波一从天线143提供到同轴型空腔谐振器11内,从非金属导管111上部导入的反应气体即可在外露部111a的区域内变为等离子状态,从作为气体流路的非金属导管111的下部作为等离子化的气体提供给反应气体导入室12。如上所述,由于在本装置中未设置用来传播微波的波导管,一振荡出微波即可立刻生成等离子,因而适合实施ALD法时使用。图1中是以仅设置了一个天线143为例加以说明的,但也可设置两个以上。此外,如上所述,由于上部导体112是可动的,改变其位置即可改变上部导体112和下部导体113间的电场发生状态,因而可改变等离子的生成状态。
然而,一般而言,一旦在等离子生成空间内生成等离子,谐振频率即会随着等离子生成空间内的电场分布的改变而改变,导致等离子的生成效率下降。在此情况下,若调整微波供给手段,又会在微波振荡和等离子生成之间产生延时,无法适用于ALD法。
为此,在第1成膜装置中,为使等离子生成前后谐振频率不发生变化,采用了同轴型空腔谐振器11内的高度L为激励波长的1/2的整数倍的结构。这是鉴于同轴型空腔谐振器11的电场分布在等离子生成前为TM方式,而在等离子生成后变为TEM方式,根据各种方式下的等效电路求出等离子生成前后的各谐振频率,通过使这些谐振频率相等的计算获得的。通过采用上述构成即可抑制等离子生成前后谐振频率的变化。
由于即便采用此法设定同轴型空腔谐振器11内的高度L,等离子生成后空腔谐振器内的频率仍然会有少许变动,因而最好在第1成膜装置的微波供给手段14内设置激磁电流控制电路。该控制电路可采用下述构成:监控同轴型空腔谐振器11内等离子生成前后的频率,当该频率发生变化的情况下,接收与该变化量对应的信号,把相当于该信号的电流作为激磁电流提供给磁控管141内的未图示的激磁线圈,即可使所提供的微波波长稳定。
此外,若在同轴型空腔谐振器11内的频率发生变化的状态下振荡出微波,等离子生成室内部产生反射波的情况下,也可设置阳极电压控制电路,其通过检出该反射波,给磁控管内的阳极电极重叠性外加相当于该检出的反射波和振荡出的微波的行进波的相位差的电压,使之向谐振频率靠拢。在此情况下,由于反射波可在微波供给手段中变换为热,因而在设置了阳极电压控制电路的情况下,需要注意电路不会因源于反射波的热而受损。还可采用在下部导体113中设置相当于振荡波长1/4长度的扼流结构,抑制从外露部111a外泄的微波的构成。
如上所述,第1成膜装置采用下述构成:其同轴型空腔谐振器11内的高度L等于激励波长的1/2的整数倍的构成,可振荡出稳定的谐振频率的同时,通过设置激磁电流控制电路以及阳极电压控制电路,即便谐振频率在等离子生成前后发生了偏差,也能使频率自动协调。还有,由于第1成膜装置在微波振荡和等离子生成间不发生延时,因而可将等离子的生成控制在极短的间隔内,例如0.5秒左右,因而非常适用于通过多次反复进行吸附工序及改性工序进行成膜的ALD法。
在该同轴型空腔谐振器11的非金属导管111内被等离子激活的反应气体经反应气体导入室12被导入喷头部13。反应气体导入室12例如用铝制成,为了防止发生微粒,最好在其内壁上设置用石英制作的衬里。在此情况下,虽然也可如图1中所示,在气体导入室12内壁的下方区域(喷头部13一侧)设置石英制作的衬里,但最好在整个内壁上设置石英制作的衬里。此外,为使原子团状态的气体不易失活,最好将反应气体导入室12的内壁表面进行氧化铝加工。
反应气体导入室12还可通过未图示的冷却手段冷却。在反应气体导入室12和喷头部13之间设有陶瓷法兰盘122(例如厚度为10mm),其由固定件123及124固定。该陶瓷法兰盘122是为了阻热而设置的,以免反应气体导入室12被喷头部13的热量加热,出于真空密封性、耐热性、阻热性的考虑,最好使用氧化铝陶瓷。
喷头部13由圆盘形构件13a、环形构件13b、第1喷流板13c、第2喷流板13d构成,其由相应的固定件123固定。圆盘形构件13a上最好设置未图示的热丝及热电偶,利用热丝可将喷头部13加热到规定温度(例如150℃左右),用热电偶测定该加热后的温度即可进行监控。此外,圆盘形构件13a上形成与反应气体导入室12连通的开口部,从而构成反应气体可从该开口部和环形构件13b的开口部导入并扩散的反应气体扩散室131。反应气体扩散室131的整个内壁上设有石英制成的衬里,在其底面上形成多个反应气体喷出孔132。该反应气体喷出孔132贯穿第1喷流板13c及第2喷流板13d直达喷头部13的底面。
圆盘形构件13a上还设有与原料气体导入装置连接的原料气体导入管133,该原料气体导入管133经气体通道134,即设置在环形构件13b外周部上的气体通道134a及设置在第1喷流板13c外周部上的气体通道134b与第2喷流板13d上形成的原料气体扩散室135连接。该气体通道134由1个以上的多段构成,各段具有可用2n-1(n为段数)表示的气体通道134a及134b。并且,气体通道134采用从前述原料气体导入管133和第1段气体通道134a的连接位置起与到达最后一段气体通道134b和原料气体扩散室135的各连接位置的距离完全相等的构成。下面用图2及图3详细说明该气体通道134。图2中(a)是环形构件13b、(b)是第1喷流板13c、(c)是第2喷流板13d的横剖面图。图3是用来说明原料气体导入管133、气体通道134以及原料气体扩散室135的配置关系的说明图。
气体通道134由设置在环形构件13b上的圆弧形的一个气体通道134a、以及设置在形成第1喷流板13c的反应气体喷出孔132的区域周边部上的圆弧形的两个气体通道134b构成。在气体通道134a的中央上部连接着原料气体导入管133。并在气体通道134a两端的底部上分别形成连接孔134c,该各连接孔134c与设置在第1喷流板13c上的气体通道134b各自的中央上部连接,使气体通道134a和气体通道134b彼此连通。
此外,在气体通道134b各自的两端底部上形成连接孔134d,该连接孔134d与设置在第2喷流板13d上的原料气体扩散室135的四个拐角上部连接,采用原料气体经连接孔134d可均匀喷射到原料气体扩散室135内的构成。
如上所述,连接前述原料气体扩散室135和原料气体导入管133的气体通道134由两段构成,第1段的气体通道134a,在其中央与前述原料气体导入管133连接,第2段的气体通道134b通过在其中央与设置在前段的气体通道134a两端底部上的连接孔134c连接,与前段的气体通道134a连通,并通过各气体通道134b两端底部上形成的连接孔134d与原料气体扩散室135连接,从而构成1个气体流路。并且由于在该气体通道134中采用从原料气体导入管133到各连接孔134d的距离均相等的构成,因而原料气体可同时同量地到达原料气体扩散室,可在原料气体扩散室135内均匀扩散。图中是将气体通道设定为两段构成,设定了4个连接孔134d的用例,但也可用3段以上构成气体通道,并增加连接孔134d的数量。例如,在第1喷流板的底部上形成与第1喷流板相同的反应气体喷出孔,并设置具有4条气体通道的第3喷流板,在该第3喷流板的4条气体通道的各自的中央上部与第1喷流板的连接孔连接。在该第3喷流板的各气体通道的两端部上分别形成通向第2喷流板的原料气体扩散室的连接孔,也就是说,也可采用以下构成:设置8个连接孔,并结合此点设计原料气体扩散室的形状,从而使气体更加均匀地在原料气体扩散室内扩散。此外,图中的原料气体扩散室是四角形的,但也可以是圆形或其它多边形的。
在该原料气体扩散室135内设有原料气体喷出孔136,该原料气体喷出孔136同样贯穿到喷头部13的底面上。在此情况下,为使原料气体均匀地喷射到真空容器内,最好缩小喷出孔的电导。例如,图1及图2所示的装置采用以下构成:将原料气体喷出孔136设定为孔径φ0.7~1mm左右、孔深设定为10mm左右。以便能使原料气体均匀地提供给真空容器内。
由于反应气体喷出孔132一直贯穿到喷头部13的底面上,因此在该喷头部13的底面上,反应气体喷出孔132和原料气体喷出孔136分别隔一定距离呈矩阵形排列,这样一来,原料气体及反应气体即可无偏差地喷射到基板上。各原料气体喷出孔136的中心间距和各反应气体喷出孔132的中心间距均设定为相同距离(例如14mm)。在此情况下,与原料气体喷出孔136的直径相比,反应气体喷出孔132的直径更大,例如若将原料气体喷出孔136的直径设为1mm,则反应气体喷出孔132的直径为5mm。这是因为在改性工序中反应气体的流量比原料气体的流量大。
在采用了此种构成的喷头部13内,由非金属导管111经反应气体导入室12被导入喷头部13的反应气体,向整个反应气体扩散室131扩散即可经各反应气体喷出孔132提供给真空容器。此外,由原料气体导入管133导入的原料气体在气体通道134内从气体通道134a的中央部导入,被均匀地分配到气体通道134a的左右两侧后经连接孔134c向下段上形成的各气体通道134b扩散。并在气体通道134b内被均匀地分配到左右两侧向前推进,从连接孔134b向原料气体扩散室135均匀扩散,然后即可从原料气体扩散室135的底面上的各原料气体喷出孔136均匀地提供给真空容器。
然而,由于原料气体中的原料一超过60℃即产生热分解,因而需使之在60℃以下的温度内气化及传送。因此,原料气体导入装置最好采用图4及图5所示的构成。下面参照图4及图5加以说明。
图4(a)及(b)是表示原料气体导入装置15构成的模式图。原料Zr(BH4)4保持在比其熔点(28.7℃)低的温度—10℃~25℃内,最好能保持在—5℃~5℃内。由于Zr(BH4)4的热稳定性极差,因而一旦温度高于25℃,即由于自我分解在原料罐中分解为Zr B2及B2H6等,另外,当低于—10℃情况下作为ALD的原料使用时,蒸气压过低,不足2mmHg。例如,在保持0℃(蒸气压3.7mmHg)的罐151内,设置细网眼的网152,将颗粒形的原料153放置于该网之上,将作为发泡气体的Ar、He等非活性气体经流量控制器154提供到罐151内的下方,使非活性气体从网152的下方到上方在原料153内流动,利用该发泡使原料153升华,使原料气体与发泡气体一道经原料气体导入管133导入原料气体扩散室135内(图4(a)),或者使颗粒形的原料153夹在保持0℃左右的罐151内设置的两片网152a及152b之间,使作为发泡气体的Ar、He等非活性气体经流量控制器154从罐151内的网152a穿过原料内流向网152b,利用该发泡使原料153升华,将原料气体与发泡气体一道经原料气体导入管133及气体通道134导入原料气体扩散室135内(图4(b))。
原料气体的导入还可通过使用低差压流量器的图5所示的原料气体导入装置15,按照下述方式进行。也就是说,把原料装入保持在例如0℃(蒸气压3.7mmHg)左右的罐151内,使用低差压流量控制器之类的流量控制器154,边直接控制原料153的气化气体的流量边经原料气体导入管133及气体通道134将此导入原料气体扩散室135内。在此情况下,把原料气体导入真空容器中时,须使真空容器内的压力低于原料气体的蒸气压。例如,当把原料罐冷却保温在0℃上时,由于原料气体的蒸气压为3.7mmHg,因而可使容器压力低于3.7mmHg。
作为放置在与上述喷头结构1相向设置的真空容器内的基板承载台上,形成ZrB2遮蔽膜的成膜对象基板,可有下述各种。可列举的就有在Si基板等半导体装置中经常使用的基板上,利用溅镀法、CVD法或涂布法等形成例如P—SiO膜、BPSG膜,HDP—PSG膜等绝缘膜以及P—SiOC膜、低渗透一k膜等低电容率膜,通过用通常的蚀刻条件蚀刻该绝缘膜及低电容率膜,形成纵横尺寸比高的细微通孔、沟槽等的基板。若采用本发明,即可用ALD法,不会在该通孔等的上部形成桥架遮蔽膜地在通孔等的内表面上敷层良好地形成优质遮蔽膜。
使用具有上述喷头结构1的第1成膜装置的本发明的遮蔽膜的形成方法是一种将基板上已形成通孔、沟槽的带绝缘膜的成膜对象物放置到真空容器内,用ALD法使用Zr(BH4)4构成的原料气体与由H2气构成的反应气体被等离子激活后得到的气体在该成膜对象的表面上产生反应,从而在包括通孔、沟槽内表面的绝缘膜上形成由ZrB2膜构成的遮蔽膜的方法。在此情况下,通过将成膜对象物的基板温度设定在50℃以上、300℃以下,最好在150℃以上、200℃以下形成遮蔽膜。这是因为在50℃以下的低温时,由于温度过于低,无法得到膜,此外,在300℃以上的高温条件下,无法得到敷层良好的膜。
作为本发明的成膜方法的ALD法的工艺流程,适合使用图6所示的时间过程图。
作为实施发明时使用的成膜装置的排气系统,例如可使用爱发科制作的干泵PDR—90—CH(排气能力:1500L/min)(未图示),以及荏原制作所制作的涡轮分子泵TMP—1003LM(排气能力:1080L/sec)的二阶真空泵(未图示)。在此情况下,最好在反应室和涡轮分子泵之间设置MKS社制作的蝶式压力控制阀,这样即可通过改变蝶式阀的打开程度控制反应室内的压力。
首先把成膜对象物放置到真空容器内之后,使真空容器内保持一定压力(例如1Torr以上,最好在3Torr以上),把成膜对象物加热到规定温度(50~300℃)。然后开始ALD成膜工序。首先在加大排气能力的状态下(蝶式阀打开程度100%)仅将1~100sccm的反应气体H2提供给非金属导管111。通过提供1~100sccm左右的反应气体H2,可防止原料气体倒流到反应气体的配管线中。
接着进入吸附工序。喷头部13按照图7所示动作。即,使反应气体G1经非金属导管111以及反应气体导入室12,传送到喷头部13的反应气体扩散室131中,经反应气体喷出孔132,将反应气体G1导入真空容器内。由于在吸附工序中并未振荡出微波,因而反应气体G1并非等离子状态。在继续提供1~100sccm作为该反应气体G1的H2气体的状态下,将作为原料气体G2的Zr(BH4)4从原料气体导入管133导入,经原料气体扩散室135后从原料气体喷出孔136导入真空容器内并使之吸附到成膜对象物上。
在吸附工序中导入原料气体时,当使用原料气体导入装置15,用图4(a)及(b)所示的作为发泡气体的非活性气体(Ar、He等)间接控制Zr(BH4)4气体流量的情况下,通过导入10~500sccm范围内流量的发泡气体间接控制Zr(BH4)4气体的流量。如果少于10sccm,由于含有的原料过少,无法成膜,此外,如果多于500sccm,由于含有的原料过多因而无法有效成膜。在此情况下,真空容器的压力最好保持10Torr以下。这是因为如果大于10Torr,控制真空容器内部压力的时间过长,不适用于ALD法。
另外,当导入原料气体时用图5所示的具有低差压流量计的装置直接控制原料气体流量的情况下,原料气体的流量为1~100sccm。这时因为如果原料气体小于1sccm,由于原料气体过少,因而无法得到具有实用性的成膜率,如果大于100sccm,由于原料的消耗量过大,作为实用性工艺不划算。在此情况下,由于考虑到Zr(BH4)4气体的热稳定性问题,可把原料:Zr(BH4)4的温度控制在-10℃~25℃范围内,最好控制在-5℃~5℃范围内,因而真空容器压力设定为比控制温度下的Zr(BH4)4气体蒸气压的值低10Torr以下。真空容器内的压力最好保持在2Torr以下,如能保持在0.1Torr以下则更理想。
吸咐工序中的压力控制是在提供防止倒流的反应气体H2的状态下,边将Zr(BH4)4气体(导入发泡气体情况下为原料气体和发泡气体)导入真空容器,边调整控制压力控制阀的打开程度。
在规定时间内给真空容器提供作为原料气体的Zr(BH4)4气体(导入发泡气体的情况下的原料气体及发泡气体)之后,停止提供原料气体。结束吸附工序。防止倒流的H2气体(反应气体)则不停地继续导入。在停止原料气体的同时,把压力控制阀的打开程度设定为100%,处于开启状态。当真空容器内的压力与导入原料气体时(吸附工序)的压力相比下降到规定值以下时进入改性工序。
在进行改性工序的同时,通过改变从吸附工序起即一直连续导入的反应气体H2气体的流量,使之处于10~500sccm范围内,并通过调整压力控制阀的打开程度把真空容器压力控制在规定压力上的同时,振荡出微波。在此情况下,如果微波的振荡频率(谐振频率)是例如2.45GHz,则接通的功率为0.1~5kW。这是因为如果接通功率小于0.1kW,由于等离子体功率小效果也少,如果大于5kW,对放电管的损害往往增大。
图8示出该改性工序中的装置的动作状态。在此情况下,原料气体G2已停止提供但反应气体G1仍然在提供。通过将磁控管141利用微波电源142振荡出的微波从微波天线143提供到同轴型空腔谐振器11内,使非金属导管111中的反应气体G1在外露部111a的区域内等离子化。在等离子状态下被激活的反应气体G3被导入反应气体导入室12以及喷头部13的反应气体扩散室131。并经反应气体扩散室131,从反应气体喷出孔132导入真空容器内,与吸附在成膜对象物上的原料气体发生反应,使膜改性。
改性工序时的真空容器压力最好在10Torr以下。这是因为如果超过10Torr,控制容器内部压力的时间过长,不适用于ALD法。
经过规定时间后,停止微波振荡,结束改性工序。在结束改性工序的同时,将作为反应气体的H2气体的流量变更为防止倒流的1~100sccm,并将压力控制阀的打开程度设为100%使之处于开启状态。当真空容器内的压力与改性工序的压力相比达到规定值以下时,再次进入吸附工序。
通过反复进行几次~几百次此种吸附工序及改性工序即可形成具有所需膜厚的遮蔽膜。
作为本发明的成膜方法的ALD法的工艺流程,此外还适合使用图9中所示的时间过程图。下面说明图9所示的时间过程图。
先把成膜对象物放置到真空容器内之后,使真空容器内保持一定压力(例如1Torr以上,最好是3Torr以上),把成膜对象物加热到规定温度(50~300℃)。然后开始ALD成膜工序。
首先,给非金属导管111内导入1~100sccm左右的反应气体H2,调整压力控制阀的打开程度,使之达到规定压力的同时,利用微波供给手段14振荡出微波,形成等离子。在形成等离子,反应气体成为氢原子团状态下开始吸附工序。在吸附工序期间也导入1~100sccm的反应气体H2并通过振荡出微波使之产生氢原子团,导入反应气体是为了防止原料气体倒流进反应气体的配管线中,此外,在吸附工序内使氢原子团和Zr(BH4)4气体在基板上发生反应,可进一步提高膜质。还可通过尽可能缩短吸附工序及改性工序间的真空开启时间提高生产效率。在此情况下,氢原子团和原料气体:Zr(BH4)4气体在气相中混合,产生CVD现象有可能造成细微通孔内的敷层等级下降。为此可通过控制使成膜对象物的温度保持更低温度(50~300℃)以便使气相中的CVD现象不太明显。
用于振荡微波的接通功率在微波的振荡频率(谐振频率)为2.45GHz时为0;1~5kW。在此情况下,如果小于0.1kW,由于等离子功率小效果也小,如果大于5kW,存在对放电管的损害增大的问题。
从原料气体导入管133导入作为原料气体的Zr(BH4)4,开始吸咐工序后,将原料气体经原料气体扩散室135,从原料气体喷出孔136导入真空容器内并使之吸附到成膜对象物上。也就是说,在吸附过程中,正如图10所示,通过使喷头结构1动作,边使反应气体G1通过非金属导管111,边利用微波振荡形成等离子状态,使等离子化的反应气体G3通过反应气体导入室12及反应气体喷出孔132后导入真空容器。
在吸附工序中导入原料气体时,当使用原料气体导入装置15,用图4(a)及(b)所示的作为发泡气体的非活性气体(Ar、He等)间接控制Zr(BH4)4气体流量的情况下,通过在10~500sccm间改变发泡气体的流量间接控制Zr(BH4)4气体的流量。在此情况下,容器压力最好保持10Torr以下。这是因为如果大于10Torr,控制容器内部的压力所用的时间过长不适用于ALD法。
另外,当导入原料气体时使用具有图5所示的低差压流量计的原料气体导入装置15直接控制原料气体的流量进行导入的情况下,原料气体的流量为1~100sccm。如果原料气体小于1sccm,无法得到具有实用性的成膜率,如果大于100sccm,原料的消耗量非常大,作为实用性工艺不划算。在此情况下,由于考虑到Zr(BH4)4气体热稳定性问题,可把原料Zr(BH4)4的温度控制在-10~25℃范围内,最好控制在-5℃~5℃范围内,因而容器压力设定为比控制温度下的Zr(BH4)4气体的蒸气压的值低10Torr以下,最好保持在2Torr以下,如能保持0.1Torr以下则更理想。
吸附工序中的压力控制在注入防止倒流的反应气体H2的状态下,边把Zr(BH4)4气体(导入发泡气体情况下为原料气体和发泡气体)导入容器,边调整控制压力控制阀的打开程度。
在规定时间内给真空容器提供作为原料气体的Zr(BH4)4气体(也导入发泡气体的情况下为原料气体及发泡气体)之后,停止提供原料气体,结束吸附工序。此时作为反应气体的H2气体及微波振荡不停止。
在停止供给原料气体的同时进入改性工序,与图6时相同也可在停止供给原料气体的同时,把压力控制阀的打开程度设为100%使之处于开启状态,真空容器内的压力与导入原料气体(吸附工序)时相比达到规定值以下时进入改性工序。
在改性工序中,首先在10~500sccm范围内改变从吸附工序起一直连续导入的作为反应气体的H2气体的流量,调整压力控制阀的打开程度,把容器压力控制在规定压力上。图8示出该改性工序中的装置的动作状态。在此情况下,虽然已停止提供原料气体G2,但反应气体G1的提供仍在继续。微波继续振荡,将磁控管141利用微波电源142振荡出的微波从天线143提供到同轴型空腔谐振器11内。使非金属导管111中的反应气体G1在外露部111a的区域内等离子化。在该等离子状态下被激活的反应气体G3被导入反应气体导入室12及喷头部13的反应气体扩散室131中。并经反应气体扩散室131,从反应气体喷出孔132导入真空容器内,与吸附在成膜对象物上的原料气体发生反应,从而改变膜的特性。改性工序期间的真空容器压力与图6时相同。
规定时间后,在继续振荡微波的状态下,把作为反应气体的H2气体的流量变更为1~100sccm的同时,导入作为原料气体的Zr(BH4)4气体,通过调整压力控制阀的打开程度把容器压力调整到吸附工序的规定压力,结束改性工序的同时开始下一个吸附工序。
通反复实施几次~几百次此种吸附工序及改性工序即可形成具有所需膜厚的遮蔽膜。
在上述任一工艺的改性工序中,为了使反应气体的原子团状态维持更长时间,还可使用在H2气体中混合了从N2及NH3中选择出的至少一种之中,或在H2气体中混合了H2和/或NH3之中,再混合非活性气体(Ar、He等)的气体。当混合了N2和/或NH3的情况下,作为最终生成物的遮蔽膜是ZrBN膜。混合在H2气体中的气体改性工序中的流量与H2气体相同,各种气体均可使之在10~500sccm间变化,但由于存在装置的排气能力以及真空泵的负担问题,因而改性工序时导入的气体总流量可根据装置适当控制。反应气体的总流量最好在10~1000sccm的范围内。
如上所述,之所以形成ZrBN膜是因为与Zr-B结合相比,Zr-N结合较为稳定,如果在反应气体中除被等离子激活的氢原子团以外,还存在氮原子团及氨原子团,即可形成Zr-N结合。因此,通过增加在H2气体中NH3气体及N2气体的比例,即可增加ZrBN膜中的Zr-N结合的比例,可根据与H2气体对应的NH3及N2气体的流量比改变ZrBN的膜质。
此外,还可从设置在反应气体扩散室131上的气体导入口137混合10~1000sccm的B2H6。通过将大量Zr(BH4)4热分解后生成的生成物B2H6导入容器,可抑制Zr(BH4)4的分解,使Zr(BH4)4稳定。
下面说明实施本发明的成膜方法所用的第2成膜装置。
图11是第2成膜装置中使用的第2喷头结构2的模式图,关于与图1相同的构成要素,标注了相同的参照符号。如果用图1所示的第1成膜装置处理极多的基板进行成膜,正如图12的SEM照片中所示,非金属导管111的内侧往往被腐蚀。因此,为了抑制非金属导管内的腐蚀,该第2成膜装置的喷头结构2至少具有一种第1成膜装置中使用的喷头结构1所没有的冷却手段。也就是说,第2喷头结构2与第1喷头结构1的不同之处在于,前者具有冷却同轴型空腔谐振器内的冷却气体导入手段21,以及将非金属导管111变更为形成使冷却用流体流动的流路的用非金属(例如氧化铝及石英)制作的双层导管22,至少具有这些冷却手段中的一种。下面说明变更点。
冷却气体导入手段21具有气源211、与气源211连接的气体管道212、设置在气体管道212中间的阀门213,附设在同轴型空腔谐振器11上。利用该冷却气体导入手段21将冷却气体导入同轴型空腔谐振器11内部,可使内部充满冷却气体,边用未图示的排气手段排出冷却气体,边使之循环。通过采用此种构成可使同轴型空腔谐振器11内部的温度保持稳定,冷却双层导管22的外露部111a附近。
作为从气源211导入同轴型空腔谐振器11内的冷却气体,可使用对人体无影响,可排放到净化室内的冷却气体,例如、干N2气,干Ar气、干He气、干O2气等。
下面用图13说明双层导管22。图13(a)是双层导管22的纵剖面图,图13(b)是图13(a)中的A-A′线上的剖面图,图13(C)是图13(b)中的B-B′线上的剖面图。正如图13(a)所示,双层导管22其侧壁为双层,侧壁221的内侧形成冷却用流体通道222。在该冷却用流体通道222的上壁上设有流入口223以及流出口224。并且如图13(b)所示,在冷却用流体通道222内插入了两块隔板225,正如图13(c)所示,在该隔板225的下部设有开口部226。这样一来,冷却用流体通道222的流入口223一侧的上游部222a和流出口224一侧的下游部222b彼此连通,从流入口223流入的液体从冷却用流体通道222的上游部自上而下流动,穿过开口部226后进入下游部222b,自下而上充满此处,从流出口224流向侧壁221外部。如上所述,由于冷却用流体通道222几乎全部覆盖了双层导管内部的气体通道227的外周,因而可自上而下冷却气体通道。图中的流入口223以及流出口224均设在双层导管22的上面,但也可分别设置在侧面上。
在此情况下,冷却用流体必须是不会与微波的振荡频率发生共振的流体媒介,例如,振荡频率为2.45GHz情况下,可使用3M社制作的商品名称为Fluorinert(フロリナ—ト)的FC-87、FC-72、FC-84、FC-77、FC-75、FC-3283、FC-40、FC-43、FC-70、FC-5312及阿尔吉蒙特(アウジモント ソシタ ペル アチオニ)公司制作的商品名称为Galden(ガルデン)(注册商标)。此外,也可使用乙二醇或以乙二醇为主要成分的液体媒介。还可使用干N2气、干Ar气、干He气、干O2气等气体。
如上所述,由于设置了冷却气体导入手段21和/或双层导管22,可抑制双层导管22内部的气体通道227的内表面的腐蚀的同时,还可防止在外露部111a的区域内形成的等离子中的氢原子团等原子团因热而失活,可提高原子团的生成效率,高效实施ALD法。
使用上述第2成膜装置,可用与第1成膜装置相同的顺序实施本发明的ALD法。在此情况下,实施ALD法期间,利用冷却气体导入手段21持续导入冷却气体,和/或利用双层导管22持续冷却气体通道227。如上所述,如果边冷却边实施ALD法,在抑制图12所示的气体通道227内表面上的腐蚀的同时,氢原子团的生成效率上升,尽管氢原子团等原子团的生成效率上升但气体通道227内表面并未被腐蚀是因为内壁被充分冷却之故。
下面用实施例详细说明本发明的成膜方法。
实施例1
在本实施例中,用图6所示的工艺流程,使用第1成膜装置成膜。在吸附工序中,以时间:5秒、反应气体(H2气):100sccm、原料气体(Zr(BH4)4气)用的发泡气体(Ar):100sccm、排气:1000L/sec为条件,在改性工序中,以时间:25秒、反应气体:100sccm、微波接通功率:0.5kW、排气:1000L/sec为条件进行成膜,分别重复24次,在基板S上成膜。所得到的膜为厚度10μm的ZrB2膜。
实施例2
用图9所示的工艺流程,使用第1成膜装置进行了成膜。在吸附工序内,以时间:5秒、反应气体(H2气):100sccm、原料气体(Zr(BH4)4气)用发泡气体(Ar):100sccm、微波接通功率:0.5kW、排气:1000L/sec为条件,在改性工序中,以时间:25秒、反应气体:100sccm、微波接通功率:0.5kW、排气:1000L/sec为条件进行成膜,分别重复24次在基板S上成膜。所得到的膜为厚度10μm的Zr B2膜。
实施例3
用图6所示的工艺流程,使用第2成膜装置进行了成膜。以时间:5秒、反应气体(H2气):100sccm、原料气体(Zr(BH4)4气体)用的发泡气体(Ar):100sccm、排气1000L/sec为条件,在改性工序中,以时间25秒、反应气体:100sccm、微波接通功率:0.5kW、排气:1000L/sec为条件进行成膜,分别重复24次,在基板S上成膜。此外,在该成膜期间冷却了同轴型空腔谐振器11的内部及双层导管22。所得到的膜为厚度10μm的ZrB2膜,抑制了图12所示的气体通道227内表面的腐蚀。
实施例4
在本实施例中,用图6所示的工艺流程,使用第1成膜装置进行了成膜。在吸附工序中,以时间:5秒、反应气体(H2气中混合了N2气):100sccm、原料气体(Zr(BH4)4气)用的发泡气体(Ar):100sccm、排气:1000L/sec为条件,在改性工序中,以时间:25秒、反应气体:1000sccm、微波接通功率:0.5kW、排气:1000L/sec为条件进行成膜,分别重复24次,在基板S上成膜。所得到的膜为厚度10μm的ZrBN膜。
(产业化前景)
若采用本发明可通过使用适用于ALD法的装置在低温下实施吸附工序及反应工序,不会在纵横尺寸比高的细微通孔、沟槽等的上部形成桥架的ZrB2膜或ZrBN膜,可在这些通孔、沟槽等处敷层良好地形成由ZrB2膜或ZrBN膜构成的遮蔽膜。因此,本发明可在半导体技术中使用。
附图说明
图1是用来说明实施本发明时使用的成膜装置的喷头结构1的剖面模式图。
图2是环形构件13b(a)、第1喷流板13c(b)以及第2喷流板13d(c)的横剖面图。
图3是用来说明原料气体导入管133、气体通道134以及原料气体扩散室135的配置关系的说明图。
图4涉及本发明中的原料气体的生成方法,(a)是用来说明其一例的模式性构成图,(b)是用来说明另一用例的模式性构成图。
图5是用来说明本发明中的原料气体的生成方法的另一用例的模式性构成图。
图6是表示与本发明的实施方式有关的工艺流程的曲线图。
图7是表示吸附工序中的喷头结构1的动作的模式性构成图。
图8是表示改性工序中的喷头结构1的动作的模式性构成图。
图9是表示本发明的另一种实施方式涉及的工艺流程的曲线图。
图10是表示本发明的另一种实施方式涉及的吸附工序中的喷头结构1的动作的模式性构成图。
图11是用来说明第2成膜装置的喷头结构2的剖面模式图。
图12是表示非金属导管内表面被腐蚀状态的SEM照片。
图13是说明双层导管22的剖面模式图(a)是双层导管22的纵剖面图,(b)是图13(a)中的A-A′线上的剖面图,(C)是图13(b)中的B-B′线上的剖面图。
(图中标号说明)
1、喷头结构,2、喷头结构,11、同轴型空腔谐振器,12、气体导入室,13、喷头部,13a、圆盘形构件,13b、环形构件,13c、第1喷流板,13d、第2喷流板,14、微波供给手段,15、原料气体导入装置,111、非金属导管,111a、外露部,112、上部导体,113、下部导体,122、陶瓷法兰盘,123、124、固定件,131、反应气体扩散室,132、反应气体喷出孔,133、原料气体导入管,134、气体通道,134a、气体通道,134b、气体通道,134c、连接孔,134d、连接孔,135、原料气体扩散室,136、原料气体喷出孔,137、气体导入口,141、磁控管,142、微波电源,143、天线,144、同轴电缆,151、罐152、网,152a、152b网,153、原料,154、流量控制器,211、气源,212、气体导管,213、阀门,221、侧壁,222、冷却用流体通道,222a、上游部,222b、下游部,223、流入口,224、流出口,225、板,226、开口部,227、气体通道,G1、反应气体,G2、原料气体,G3、反应气体。

Claims (10)

1、一种遮蔽膜的形成方法,具有等离子生成手段,其由同轴型空腔谐振器和微波供给电路构成,该空腔谐振器配置有分隔设置在导入反应气体的非金属导管外周上部及下部的导体;前述同轴型空腔谐振器内部的高度为激励波长的1/2的整数倍;其使用具有由非金属导管的一端注入的气体在非金属导管未设置前述导体的区域内被微波激活、从另一端呈等离子化释放的等离子生成手段的成膜装置,将已形成通孔、沟槽的带绝缘膜的成膜对象物放置在该成膜装置的真空容器内,利用ALD法使由Zr(BH4)4构成的原料气体与由H2气体构成的反应气体经前述等离子生成手段等离子化后得到的气体发生反应,在该成膜对象物的表面包括通孔、沟槽内表面在内的绝缘膜上形成由ZrB2膜构成的遮蔽膜。
2、根据权利要求1所述的遮蔽膜的形成方法,其特征在于:通过反复进行下述工序,在包括通孔、沟槽内表面在内的绝缘膜上形成由ZrB2膜构成的遮蔽膜:吸附工序,其通过提供前述原料气体和前述反应气体,使之吸附到已形成通孔、沟槽的带绝缘膜的成膜对象物上;改性工序,其停止供给原料气体,仅连续供给反应气体,使该反应气体由被前述等离子生成手段等离子化,使该等离子化的反应气体与吸附在成膜对象物上的原料气体发生反应进行改性。
3、根据权利要求1所述的遮蔽膜的形成方法,其特征在于:通过反复进行下述工序,在包括通孔、沟槽内表面在内的绝缘膜上形成由ZrB2膜构成的遮蔽膜:吸附工序,其通过提供前述原料气体以及被前述等离子生成手段等离子化的前述反应气体,使之吸附到已形成通孔、沟槽的带绝缘膜的成膜对象物上;改性工序,其停止供给原料气体,仅连续供给被前述等离子生成手段等离子化的反应气体的同时,使之与吸附到成膜对象物上的原料气体发生反应进行改性。
4、一种遮蔽膜的形成方法,其特征在于:具有等离子生成手段,其由同轴型空腔谐振器和微波供给电路构成,该空腔谐振器配置有分隔设置在导入反应气体的非金属导管外周上部及下部的导体;前述同轴型空腔谐振器内部的高度为激励波长的1/2的整数倍;其使用具有由非金属导管的一端注入的气体在非金属导管未设置前述导体的区域内被微波激活、从另一端呈等离子化释放的等离子生成手段的成膜装置,将已形成通孔、沟槽的带绝缘膜的成膜对象物放置在该成膜装置的真空容器内,利用ALD法使由Zr(BH4)4构成的原料气体与氢气中混合了从N2及NH3中选择出的至少一种的反应气体被前述等离子生成手段等离子化的气体发生反应,在该成膜对象物的表面包括通孔、沟槽内表面在内的绝缘膜上形成由ZrBN膜构成的遮蔽膜。
5、根据权利4所述的遮蔽膜的形成方法,其特征在于:通过反复进行下述工序,在包括通孔、沟槽内表面在内的绝缘膜上形成由ZrBN膜构成的遮蔽膜:吸附工序,其通过提供前述原料气体和前述反应气体,使之吸附到已形成通孔、沟槽的带绝缘膜的成膜对象物上;改性工序,其停止供给原料气体,仅连续供给反应气体,使该反应气体被前述等离子生成手段等离子化,使该等离子化的反应气体与吸附在成膜对象物上的原料气体发生反应进行改性。
6、根据权利要求4所述的遮蔽膜的形成方法,其特征在于:通过反复进行下述工序,在包括通孔、沟槽内表面在内的绝缘膜上形成由ZrBN膜构成的遮蔽膜:吸附工序,其通过提供前述原料气体以及被前述等离子生成手段等离子化的前述反应气体,使之吸附到已形成通孔、沟槽的带绝缘膜的成膜对象物上;改性工序,其停止供给原料气体,仅连续供给被前述等离子生成手段等离子化的反应气体的同时,使之与吸附到成膜对象物上的原料气体发生反应进行改性。
7、根据权利要求2、3、5及6任一项所述的遮蔽膜的形成方法,其特征在于:前述反应气体的流量在吸附工序和改性工序中以不同流量形成遮蔽膜。
8、根据权利要求1~7任一项所述的遮蔽膜的形成方法,其特征在于:边把作为前述成膜对象物的基板加热到50~300℃边形成遮蔽膜。
9、根据权利要求1~8任一项所述的遮蔽膜的形成方法,其特征在于:将冷却气体导入前述同轴型空腔谐振器内,边冷却非金属导管内未设置导体的区域边形成遮蔽膜。
10、根据权利要求1~9任一项所述的遮蔽膜的形成方法,其特征在于:将前述非金属导管的侧壁设定为两层,通过使冷却用流体在该侧壁间循环,边冷却非金属导管边形成遮蔽膜。
CN2007800413958A 2006-11-09 2007-11-08 遮蔽膜的形成方法 Active CN101536154B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP304485/2006 2006-11-09
JP2006304485 2006-11-09
JP047943/2007 2007-02-27
JP2007047943 2007-02-27
PCT/JP2007/071720 WO2008056742A1 (fr) 2006-11-09 2007-11-08 Procédé de fabrication de film barrière

Publications (2)

Publication Number Publication Date
CN101536154A true CN101536154A (zh) 2009-09-16
CN101536154B CN101536154B (zh) 2010-08-11

Family

ID=39364553

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800413958A Active CN101536154B (zh) 2006-11-09 2007-11-08 遮蔽膜的形成方法

Country Status (6)

Country Link
US (1) US8084368B2 (zh)
JP (1) JP5275038B2 (zh)
KR (1) KR101064354B1 (zh)
CN (1) CN101536154B (zh)
TW (1) TWI412080B (zh)
WO (1) WO2008056742A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102453886A (zh) * 2010-10-15 2012-05-16 东京毅力科创株式会社 成膜方法、成膜装置以及半导体装置的制造方法
TWI493063B (zh) * 2010-08-19 2015-07-21 Hon Hai Prec Ind Co Ltd 塗層、具有該塗層的被覆件及該被覆件的製備方法
CN109136883A (zh) * 2017-06-28 2019-01-04 Asm Ip控股有限公司 用于通过原子层沉积将过渡金属氮化物膜沉积在基材上的方法和相关沉积设备

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8216433B2 (en) * 2006-03-07 2012-07-10 University Of The Ryukyus Plasma generator and method of generating plasma using the same
JP2008211090A (ja) * 2007-02-27 2008-09-11 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置
JP2008211079A (ja) * 2007-02-27 2008-09-11 Ulvac Japan Ltd バリア膜の形成方法及びバリア膜、並びに多層配線構造の作製方法及び多層配線構造
CN101627459B (zh) 2007-02-27 2012-02-29 株式会社爱发科 半导体器件制造方法以及半导体器件制造设备
JP2010010625A (ja) * 2008-06-30 2010-01-14 Ulvac Japan Ltd 半導体装置の製造装置及び半導体装置の製造方法
JP2010010624A (ja) * 2008-06-30 2010-01-14 Ulvac Japan Ltd 半導体装置の製造装置及び半導体装置の製造方法
JP5389386B2 (ja) * 2008-06-30 2014-01-15 株式会社アルバック 半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5379246B2 (ja) * 2010-01-12 2013-12-25 株式会社アルバック 半導体装置の製造方法、及び成膜装置
JP5650479B2 (ja) * 2010-09-27 2015-01-07 東京エレクトロン株式会社 電極及びプラズマ処理装置
US9034774B2 (en) * 2011-04-25 2015-05-19 Tokyo Electron Limited Film forming method using plasma
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10255998A (ja) 1997-03-06 1998-09-25 Toshiba Corp マイクロ波励起プラズマ処理装置
JP3615938B2 (ja) * 1998-06-22 2005-02-02 三菱重工業株式会社 プラズマ生成装置
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
TW504846B (en) * 2000-06-28 2002-10-01 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
JP4350337B2 (ja) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
US6716744B2 (en) 2002-05-06 2004-04-06 Sharp Laboratories Of America, Inc. Ultra thin tungsten metal films used as adhesion promoter between barrier metals and copper
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2004296490A (ja) * 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
EP1667217A1 (en) * 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
JP4265762B2 (ja) * 2003-09-08 2009-05-20 キヤノンアネルバ株式会社 プラズマ処理装置
JP4879509B2 (ja) 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
JP4480516B2 (ja) * 2004-08-23 2010-06-16 株式会社アルバック バリア膜の形成方法
KR100613450B1 (ko) * 2004-12-02 2006-08-21 주식회사 하이닉스반도체 반도체 장치의 비트라인 배리어 금속층 및 그 제조방법
JP4022590B2 (ja) * 2005-03-25 2007-12-19 株式会社エーイーティー マイクロ波プラズマ発生装置
JP4943111B2 (ja) * 2006-10-13 2012-05-30 株式会社アルバック 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI493063B (zh) * 2010-08-19 2015-07-21 Hon Hai Prec Ind Co Ltd 塗層、具有該塗層的被覆件及該被覆件的製備方法
CN102453886A (zh) * 2010-10-15 2012-05-16 东京毅力科创株式会社 成膜方法、成膜装置以及半导体装置的制造方法
CN109136883A (zh) * 2017-06-28 2019-01-04 Asm Ip控股有限公司 用于通过原子层沉积将过渡金属氮化物膜沉积在基材上的方法和相关沉积设备
CN109136883B (zh) * 2017-06-28 2022-11-18 Asm Ip控股有限公司 用于通过原子层沉积将过渡金属氮化物膜沉积在基材上的方法和相关沉积设备

Also Published As

Publication number Publication date
TW200836265A (en) 2008-09-01
KR20090067201A (ko) 2009-06-24
KR101064354B1 (ko) 2011-09-14
CN101536154B (zh) 2010-08-11
JPWO2008056742A1 (ja) 2010-02-25
US20100068891A1 (en) 2010-03-18
JP5275038B2 (ja) 2013-08-28
TWI412080B (zh) 2013-10-11
US8084368B2 (en) 2011-12-27
WO2008056742A1 (fr) 2008-05-15

Similar Documents

Publication Publication Date Title
CN101536154B (zh) 遮蔽膜的形成方法
US7850779B2 (en) Apparatus and process for plasma-enhanced atomic layer deposition
CN101657565A (zh) 成膜装置
US9309598B2 (en) Oxide and metal removal
CN101435074B (zh) 基板处理装置
CN108735577B (zh) 选择性沉积用于互连的wcn阻挡/粘附层
US20090250004A1 (en) Gas Head and Thin-Film Manufacturing Apparatus
KR101554334B1 (ko) 샤워헤드 어셈블리 및 이를 구비한 박막증착장치 및 박막증착방법
KR20060047153A (ko) 박막증착 장치
CN102197459A (zh) 三元化合物的气相沉积方法
CN102576667A (zh) 中空阴极喷头
KR20130062937A (ko) 수명이 짧은 종들을 위한 빌트-인 플라즈마 소스를 구비한 프로세스 챔버 리드 설계
JP2000319772A (ja) 複数枚の基板に薄膜を蒸着可能な原子層蒸着装置
CN102290372A (zh) 半导体器件制造方法以及半导体器件制造设备
CN100472724C (zh) 利用连续流沉积来沉积金属层的方法
TW200527511A (en) Chemical vapor deposition apparatus and film deposition method
US20190074218A1 (en) Process of filling the high aspect ratio trenches by co-flowing ligands during thermal cvd
KR100422398B1 (ko) 박막 증착 장비
KR0175011B1 (ko) 티타늄나이트라이드 박막의 제조방법
TW202330986A (zh) 沈積系統及方法
KR20020017079A (ko) 반도체 장비의 시스템 유지 방법
JP2014150191A (ja) Pzt膜の製造方法及び成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant