KR20090067201A - 장벽막 형성 방법 - Google Patents

장벽막 형성 방법 Download PDF

Info

Publication number
KR20090067201A
KR20090067201A KR1020097009544A KR20097009544A KR20090067201A KR 20090067201 A KR20090067201 A KR 20090067201A KR 1020097009544 A KR1020097009544 A KR 1020097009544A KR 20097009544 A KR20097009544 A KR 20097009544A KR 20090067201 A KR20090067201 A KR 20090067201A
Authority
KR
South Korea
Prior art keywords
gas
film
plasma
film forming
barrier film
Prior art date
Application number
KR1020097009544A
Other languages
English (en)
Other versions
KR101064354B1 (ko
Inventor
마사노부 하타나카
미치오 이시카와
카나코 쯔마가리
Original Assignee
가부시키가이샤 알박
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 알박 filed Critical 가부시키가이샤 알박
Publication of KR20090067201A publication Critical patent/KR20090067201A/ko
Application granted granted Critical
Publication of KR101064354B1 publication Critical patent/KR101064354B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반응 가스를 도입하기 위한 비금속 파이프의 주위에 떨어뜨려 설치된 도체를 구비한 동축형 공진 캐비티와, 상기 동축형 공진 캐비티를 여진하는 마이크로파 공급 회로로 구성된 플라즈마 생성 수단이며, 상기 동축형 공진 캐비티 내부의 높이가 여진 파장의 1/2의 정수배이고, 비금속 파이프의 한쪽 끝으로부터 주입된 가스가 비금속 파이프의 상기 도체로 덮이지 않은 위치에서 마이크로파에 의해 여기되어 다른 쪽 끝으로부터 플라즈마화하여 방출되도록 구성한 플라즈마 생성 수단을 구비한 성막 장치를 이용하여 ZrB2막으로 되는 장벽막을 형성한다.

Description

장벽막 형성 방법{BARRIER FILM FORMING METHOD}
본 발명은 장벽막 형성 방법에 관한 것이다.
근년, 반도체 집적회로 패턴 미세화에 수반하여, 종횡비(어스펙트 비)가 높은 미세한 콘택트 홀이나 트렌치 등을 Cu나 Al 등의 배선 재료로 매립하는 이른바 매립 배선 구조가 제안되고 있다.
상기와 같은 매립 배선 구조의 경우, 예를 들면 주 배선 재료로서 Cu를 이용하면, Cu가 절연막 중에 확산하기 쉬워 불편이 생긴다. 그 때문에, 절연막과 배선 재료 사이에 도전성의 장벽막을 마련하여 Cu의 확산을 억제 또는 방지하고 있다. 이 장벽막의 형성에는, 여러 가지 방법이 제안되고 있지만, 예를 들면 PVD법이나 MOCVD법이나 ALD법을 이용하여, Ta, TiN, TaN 등의 재료층을 퇴적시켜 장벽막을 형성하는 것이 알려져 있다(예를 들면, 특허 문헌 1 참조).
상기한 ALD법은, 한 종류의 전구체를 기판 표면에 흡착시키고(흡착 공정), 이 전구체가 흡착하고 있는 상태에서 다른 전구체를 공급함으로써 2개의 전구체를 기판 표면에서 서로 접촉시켜 반응하게 하고(개질 공정), 이 공정을 반복하여 소망하는 금속막을 형성하는 것이다. 이 ALD법은, 일반적으로 CVD법보다 저온에서, 또한 층덮힘이 양호하게 성막할 수 있는 이점이 있다.
그런데 Ta, TiN 이외의 장벽성이 높은 막으로서, ZrB2막이 알려져 있다. 이 ZrB2막은 원료로서 Zr(BH4)4를 이용하면 다음의 반응식(1)에 따라 성막할 수 있는 것이 알려져 있다.
Zr(BH4)4 → ZrB2 + B2H6 + 5H2 … (1)
상기 식(1)의 경우는, 원료를 Si 기판으로부터의 열에 의해 직접 열분해 하여 기판 상에 ZrB2막을 형성하는 방법이지만, 양호한 ZrB2막을 얻으려면, 기판을 550℃ 이상의 고온으로 가열하는 것이 필요해서 바람직하지 않다.
이것에 대해, 원료에 수소 라디칼을 첨가하고, 이 수소 라디칼 및 Si 기판으로부터의 열에 의해 원료를 저온(300~350℃)에서 반응시켜 기판 상에 ZrB2막을 형성하는 CVD법이 알려져 있다(예를 들면, 비특허 문헌 1 참조).
이러한 수소 라디칼을 첨가하기 위해서는, 수소 가스를 여기하는 것이 필요하다. 이러한 가스를 여기하기 위한 장치로서는, 도파관을 이용하여 마이크로파를 도입하는 마이크로파 여기 플라즈마 처리 장치가 알려져 있다(예를 들면, 특허 문헌 2 참조).
특허 문헌 1 : 일본특허공개 2004-6856호 공보(청구항 3, 도 4 등)
특허 문헌 2 : 일본특허공개 평성10-255998호 공보(청구항 1, 도 1 등)
비특허 문헌 1 : J.Appl.Phys., Vol.91, No.6, 2002. 3. 15, pp.3904-3907(p.3904)
그렇지만, ZrB2막을 장벽막으로 형성하기 위해서 상기 식(1)의 반응을 이용하여 원료를 직접 열분해 하면, 성막 온도가 너무 높기 때문에, 반도체 장치의 배선 재료로서 Cu나 Al을 이용할 수 없는 문제가 있다.
또, 상기와 같은 마이크로파 여기 플라즈마 처리 장치에서는, 도파관에 의해 마이크로파를 전파(傳播)하므로, 마이크로파 발생으로부터 플라즈마 생성까지 시간이 걸리기 때문에, 흡착 공정과 개질 공정을 여러 번 반복하는 ALD법에는 적합하지 않은 문제가 있다.
거기서, 본 발명의 과제는, 상기 종래 기술의 문제점을 해결하는 것으로, 플라즈마 생성에 시간이 걸리지 않는 마이크로파 여기 플라즈마 처리 장치를 이용한 ALD법을 이용하여, 저온 공정으로 미세한 홀, 트렌치 등의 상부에서 장벽막이 오버행 없이, 이들 홀이나 트렌치 내면에 층덮힘 좋게, 그리고 효율적으로 ZrB2막의 장벽막을 형성하는 방법을 제공하는 것이다.
본 발명의 장벽막 형성 방법은, 반응 가스를 도입하기 위한 비금속 파이프의 외주 상부 및 하부에 떨어뜨려 설치된 도체를 구비하는 동축형 공진 캐비티와 마이크로파 공급 회로로 되는 플라즈마 생성 수단이며, 상기 동축형 공진 캐비티 내부의 높이가 여진 파장의 1/2의 정수배이고, 비금속 파이프의 한쪽 끝으로부터 주입된 가스가, 비금속 파이프의 상기 도체를 마련하지 않은 영역에서, 마이크로파에 의해 여기되어 다른 쪽 끝으로부터 플라즈마화하여 방출되도록 구성된 플라즈마 생성 수단을 구비한 성막 장치를 이용하여, 홀, 트렌치가 형성되어 있는 절연막을 갖는 성막 대상물을 이 성막 장치의 진공 챔버 내에 배치하고, 이 성막 대상물의 표면 상에서, ALD 법에 의해, Zr(BH4)4 가스로 된 원료 가스와 H2 가스로 된 반응 가스를 상기 플라즈마 생성 수단에 의해 플라즈마화하여 얻어진 가스를 반응시켜, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrB2막으로 되는 장벽막을 형성하는 것을 특징으로 한다. 이러한 장치를 이용하여 성막하는 것에 의해, 저온 공정으로 미세한 홀, 트렌치의 내면에 층덮힘 좋게 또한 효율적으로 ZrB2막의 장벽막을 형성할 수 있다.
본 발명의 장벽막 형성 방법에 있어서는, 상기 원료 가스와 상기 반응 가스를 공급하여 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물 상에 흡착시키는 흡착 공정과, 원료 가스의 공급을 멈추고 반응 가스만 연속하여 공급하여 이 반응 가스를 상기 플라즈마 생성 수단에 의해 플라즈마화하여 플라즈마화 된 반응 가스를 성막 대상물 상에 흡착한 원료 가스와 반응시켜 개질을 실시하는 개질 공정을 반복하여, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrB2막으로 되는 장벽막을 형성하는 것이 바람직하다.
또, 본 발명의 장벽막 형성 방법에 있어서는, 상기 원료 가스와 상기 플라즈마 생성 수단에 의해 플라즈마화 된 상기 반응 가스를 공급하여, 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물 상에 흡착시키는 흡착 공정과, 원료 가스만 공급을 멈추고 상기 플라즈마 생성 수단에 의해 플라즈마화 된 반응 가스를 연속하여 공급하면서, 성막 대상물 상에 흡착한 원료 가스와 반응시켜 개질을 실시하는 개질 공정을 반복하여, 홀, 트렌치의 내면을 포함하여 절연막상에 ZrB2막으로 되는 장벽막을 형성하는 것이 바람직하다.
본 발명의 장벽막 형성 방법은, 반응 가스를 도입하기 위한 비금속 파이프의 외주 상부 및 하부에 떨어뜨려 설치된 도체를 구비하는 동축형 공진 캐비티와 마이크로파 공급 회로로 된 플라즈마 생성 수단이며, 상기 동축형 공진 캐비티 내부의 높이가 여진 파장의 1/2의 정수배이고, 비금속 파이프의 한쪽 끝으로부터 주입된 가스가 비금속 파이프의 상기 도체를 마련하지 않은 영역에서 마이크로파에 의해 여기되어 다른 쪽 끝으로부터 플라즈마화하여 방출되도록 구성된 플라즈마 생성 수단을 구비한 성막 장치를 이용하여, 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물을 이 성막 장치의 진공 챔버 내에 배치하고, 이 성막 대상물의 표면 상에서 ALD법에 의해, Zr(BH4)4 가스로 되는 원료 가스와, H2 가스에, N2 및 NH3로부터 선택된 적어도 하나를 혼합한 반응 가스를 상기 플라즈마 생성 수단에 의해 플라즈마화하여 얻어진 가스를 반응시켜, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrBN막으로 되는 장벽막을 형성하는 것을 특징으로 한다. H2 가스에 N2 및 NH3로부터 선택된 적어도 하나를 혼합하여 이용하는 것에 의해, 플라즈마화 된 반응 가스 상태를 보다 길게 유지할 수 있게 된다. 그리고 이 반응 가스를 이용하여, 이러한 장치에 의해 성막 하는 것에 의해, 저온 공정으로 미세한 홀, 트렌치의 내면에 층덮힘 좋게 또한 효율적으로 ZrBN막의 장벽막을 형성할 수 있다.
이 경우에, 상기 원료 가스와 상기 반응 가스를 공급하여 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물 상에 흡착시키는 흡착 공정과, 원료 가스의 공급을 멈추고 반응 가스만 연속하여 공급하여 반응 가스를 상기 플라즈마 생성 수단에 의해 플라즈마화시키고 이 플라즈마화한 반응 가스를 성막 대상물 상에 흡착한 원료 가스와 반응시켜 개질을 실시하는 개질 공정을 반복하여, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrBN막으로 되는 장벽막을 형성하는 것이 바람직하다. 또, 상기 원료 가스와 상기 플라즈마 생성 수단에 의해 플라즈마화 된 상기 반응 가스를 공급하여, 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물 상에 흡착시키는 흡착 공정과, 원료 가스만 공급을 멈추고 상기 플라즈마 생성 수단에 의해 플라즈마화 된 반응 가스를 연속하여 공급하면서, 성막 대상물 상에 흡착한 원료 가스와 반응시켜 개질을 실시하는 개질 공정을 반복하여, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrBN막으로 되는 장벽막을 형성하는 것이 바람직하다.
상기 반응 가스의 유량을, 흡착 공정과 개질 공정에서, 다른 유량으로 하는 것이 바람직하다. 장벽막을 형성하는 경우에는, 상기 성막 대상물을 50~300℃로 가열하면서 장벽막을 형성하는 것이 바람직하다.
또, 상기 동축형 공진 캐비티 내에 냉각 가스를 도입하여, 비금속 파이프의 도체를 마련하지 않은 영역을 냉각하면서 장벽막을 형성하는 것이 바람직하고, 게다가 상기 비금속 파이프의 측벽을 2중으로 하여, 이 측벽 사이에 냉각용 유체를 순환시켜 비금속 파이프를 냉각하면서 장벽막을 형성하는 것이 바람직하다. 이와 같이 냉각하는 것에 의해, 비금속 파이프 내벽의 식각을 억제하여 라디칼의 생성 효율을 올릴 수 있다.
본 발명에 의하면, 마이크로파 여기의 플라즈마 생성 수단을 구비한 성막 장치를 이용하여 ALD법에 따라 저온에서 흡착 공정 및 개질 공정을 실시함으로써, 종횡비가 높은 미세한 홀, 트렌치 등의 상부에서의 장벽막의 오버행도 없고, 이들 홀, 트렌치 등에 층덮힘 좋게 장벽막을 형성할 수 있는 효과를 나타낸다.
도 1은 본 발명의 실시에 이용되는 성막 장치의 샤워 헤드 구조(1)를 설명하기 위한 단면 모식도이다.
도 2 (a)는 링상 부재(13b), (b)는 제1의 샤워판(13c), 및 (c)는 제2의 샤워판(13d)의 횡단면도이다.
도 3은 원료 가스 도입관(133), 가스 통로(134) 및 원료 가스 확산실(135)의 배치 관계를 설명하기 위한 설명도이다.
도 4는 본 발명에 있어서의 원료 가스의 생성 방법에 관한 것으로, (a)는 그 일례를 설명하기 위한 모식적 구성도이며, (b)는 다른 예를 설명하기 위한 모식적 구성도이다.
도 5는 본 발명에 있어서의 원료 가스의 생성 방법을 설명하기 위한 또 다른 예를 나타내는 모식적 구성도이다.
도 6은 본 발명의 실시 형태에 따른 공정 순서를 나타내는 그래프이다.
도 7은 흡착 공정에 있어서의 샤워 헤드 구조(1)의 작동을 나타내는 모식적 구성도이다.
도 8은 개질 공정에 있어서의 샤워 헤드 구조(1)의 작동을 나타내는 모식적 구성도이다.
도 9는 본 발명의 다른 실시 형태에 따른 공정 순서를 나타내는 그래프이다.
도 10은 본 발명의 다른 실시 형태에 따른 흡착 공정에 있어서의 샤워 헤드 구조(1)의 작동을 나타내는 모식적 구성도이다.
도 11은 제2의 성막 장치의 샤워 헤드 구조(2)를 설명하기 위한 단면 모식도이다.
도 12는 비금속 파이프 내면이 식각된 상태를 나타내는 SEM 사진이다.
도 13은 2중 파이프(22)에 대해 설명하는 단면 모식도로, (a)는 2중 파이프(22)의 종단면도이고, (b)는 도 13(a) 중의 선 A-A'로부터 본 단면도이며, (c)는 도 13(b) 중의 선 B-B'로부터 본 단면도이다.
(부호의 설명)
1 샤워 헤드 구조
2 샤워 헤드 구조
11 동축형 공진 캐비티
12 가스 도입실
13 샤워 헤드부
13a 원반상 부재
13b 링상 부재
13c 제1의 샤워판
13d 제2의 샤워판
14 마이크로파 공급 수단
15 원료 가스 도입 장치
111 비금속 파이프
111a 노출부
112 상부 도체
113 하부 도체
122 세라믹 플랜지
123, 124 고정구
131 반응 가스 확산실
132 반응 가스 분출 구멍
133 원료 가스 도입관
134 가스 통로
134a 가스 통로
134b 가스 통로
134c 접속 구멍
134d 접속 구멍
135 원료 가스 확산실
136 원료 가스 분출 구멍
137 가스 도입구
141 마그네트론
142 마이크로파 전원
143 안테나
144 동축 케이블
151 탱크
152 망
152a, 152b 망
153 원료
154 매스 플로우 컨트롤러
211 가스원
212 가스관
213 밸브
221 측벽
222 냉각용 유체 통로
222a 상류부
222b 하류부
223 유입구
224 유출구
225 판
226 개구부
227 가스 통로
G1 반응 가스
G2 원료 가스
G3 반응 가스
처음에, 본 발명의 성막 방법에 이용되는 제1의 성막 장치에 대해 설명한다. 제1의 성막 장치는, 성막 대상물을 배치하는 받침대를 그 저부에 구비한 진공 챔버와, 이 진공 챔버의 천정에 성막 대상물에 대향하도록 설치된 샤워 헤드 구조로 된다. 이 샤워 헤드 구조에 대해 도 1을 참조하여 이하 상세하게 기술한다.
샤워 헤드 구조(1)는, 상부의 동축형 공진 캐비티(11)와, 동축형 공진 캐비티(11)의 저부에 접속하여 설치된 반응 가스 도입실(12)과, 반응 가스 도입실(12)에 접속하여 설치된 샤워 헤드부(13)로 된다.
동축형 공진 캐비티(11)는, 예를 들면 구리제나 알루미늄제로, 이 동축형 공진 캐비티(11)에는 비금속 파이프(111)가 캐비티의 천정벽과 저벽을 관통하여 설치되어 있다. 이 비금속 파이프(111)의 상부에는, 도시하지 않은 반응 가스의 가스원이 유량 제어 수단을 개입시켜 접속된다. 이 비금속 파이프(111)로는, 석영관, 사파이어관 또는 알루미나관을 이용할 수 있지만, 파티클을 보다 저감하기 위해 사파이어관이나 알루미나관을 이용하는 것이 바람직하다.
이 비금속 파이프(111)의 상부에는, 그 주위를 덮도록 동심원상의 가동이 자유로운 상부 도체(112)가 설치되고, 또, 이 상부 도체(112)의 아래쪽에서는, 동축 형 공진 캐비티(11)의 저벽이 하부 도체(113)로서 기능하고 있다. 2개의 도체 사이에서는 비금속 파이프(111)는 노출하고 있고, 이 노출부(111a)에 마이크로파가 조사된다. 덧붙여 도 1 중에서는, 동축형 공진 캐비티(11)의 저벽이 하부 도체(113)로서 기능하고 있지만, 하부 도체(113)를 다른 부재로 동축형 공진 캐비티(11)의 저부에 설치해도 좋다.
비금속 파이프(111)의 노출부(111a) 영역에서 플라즈마를 생성하기 위해, 마이크로파 공급 수단(14)이 동축형 공진 캐비티(11)의 측벽면의 노출부(111a)에 대응하는 위치에 설치되어 있다. 이 마이크로파 공급 수단(14)은 마이크로파를 발진하는 마그네트론(141)과, 이 마그네트론(141)을 작동시키기 위한 마이크로파 전원(142)과, 마그네트론(141)에 접속되고 마그네트론(141)으로부터 발진된 공진 주파수(예를 들면, 2.45GHz)의 마이크로파를 동축형 공진 캐비티(11)에 공급하는 안테나(143)와, 안테나(143)와 마그네트론(141)을 연결하는 동축 케이블(144)로 된다. 마이크로파 전원(142)을 작동시키면, 마그네트론(141)으로부터 마이크로파가 발진되고, 이 마이크로파가 동축 케이블(144)을 통해 측벽에 설치된 안테나(143)에 도달한다. 그리고 안테나(143)로부터 마이크로파가 동축형 공진 캐비티(11) 내에 공급되면, 비금속 파이프(111) 상부로부터 도입되고 있는 반응 가스가 노출부(111a) 영역에서 플라즈마 상태로 변화하여, 가스의 유로인 비금속 파이프(111)의 하부로부터 플라즈마화한 가스로서 반응가스 도입실(12)로 공급된다. 이와 같이, 본 장치에서는 마이크로파를 전파하기 위한 도파관을 설치하지 않으므로, 마이크로파를 발진하면, 즉시 플라즈마를 생성할 수 있기 때문에, ALD법의 실시에 적합 하다. 덧붙여, 도 1 중, 안테나(143)를 하나만 설치한 예를 설명하지만, 2 이상 설치해도 좋다. 또한, 상기한 바와 같이 상부 도체(112)는 가동이므로, 그 위치를 바꾸어 상부 도체(112)와 하부 도체(113) 사이의 전계 발생 상태를 변경함으로써, 플라즈마의 생성 상태를 바꿀 수 있다.
그런데 일반적으로, 플라즈마 생성 공간에서 플라즈마가 생성되면, 플라즈마 생성 공간의 전계 분포가 변화하여 공진 주파수가 변화하고, 플라즈마의 생성 효율이 나빠진다. 이 경우에, 마이크로파 공급 수단을 조정하면, 마이크로파 발진과 플라즈마 생성 사이에 시간 지연이 생기게 되어 ALD법으로는 적합하지 않다.
거기서, 제1의 성막 장치에서는, 플라즈마 생성 전후에 공진 주파수가 변화하지 않도록 동축형 공진 캐비티(11) 내의 높이(L)가 여진 파장의 1/2의 정수배로 되도록 구성하고 있다. 이것은 동축형 공진 캐비티(11)의 전계 분포가 플라즈마 생성 전에는 TM 모드로 되지만, 플라즈마 생성 후에는 TEM 모드로 되는 것에 비추어 생각한 것으로, 각 각 모드에 있어서의 전기적 등가 회로로부터 플라즈마 생성 전후의 각 공진 주파수를 구하고, 이들의 공진 주파수가 같아지도록 계산하는 것에 의해 얻어진 것이다. 상기 구성에 의해, 플라즈마 생성 전후에서 공진 주파수의 변화를 억제하는 것이 가능하다.
이와 같이 동축형 공진 캐비티(11) 내의 높이(L)를 설정해도 여전히 플라즈마 생성 후에 캐비티 내의 주파수가 적지만 변동하는 경우도 있으므로, 제1의 성막 장치의 마이크로파 공급 수단(14)에 여자 전류 제어 회로를 마련하는 것이 바람직하다. 이 제어 회로는, 동축형 공진 캐피티(11) 내에서의 플라즈마 발생 전후의 주 파수를 모니터링하여, 이 주파수가 변화했을 경우에 변화분에 대응하는 신호를 받아 이 신호에 상당하는 전류를 여자 전류로서 마그네트론(141) 내의 도시하지 않은 여자 코일에 보내는 것에 의해, 공급하는 마이크로파의 파장이 일정하게 되도록 구성된다.
또, 동축형 공진 캐비티(11) 내의 주파수가 변화한 상태에서 마이크로파를 발진하면 플라즈마 생성실 내부에서 반사파가 생기는 경우에는, 이 반사파를 검출하여 이 검출한 반사파와 발진한 마이크로파 진행파의 위상차이에 상당하는 전압을 마그네트론 내의 양극 전극에 중첩하여 인가하여 공진 주파수에 가까워지도록 동작하는 양극 전압 제어 회로를 설치해도 좋다. 이 경우, 반사파는 마이크로파 공급 수단에서 열로 변환되므로, 양극 전압 제어 회로를 마련했을 경우에 반사파에 기인하는 열에 의해 회로가 손상을 받지 않게 주의할 필요가 있다. 게다가 하부 도체(113) 중에, 발진 파장의 4분의 1의 길이에 상당하는 초크 구조를 마련하여 노출부(111a)로부터 누설되는 마이크로파를 억제하도록 구성해도 좋다.
이와 같이 제1의 성막 장치는, 동축형 공진 캐비티(11) 내의 높이(L)를 여진 파장의 1/2의 정수배가 되도록 구성하여, 일정한 공진 주파수를 발진할 수 있음과 아울러, 여자 전류 제어 회로 및 양극 전압 제어 회로를 마련하는 것에 의해, 플라즈마 생성 전후로 만일 공진 주파수가 어긋났다고 해도 주파수를 자동적으로 매칭 하도록 구성되어 있다. 게다가 제1의 성막 장치는, 마이크로파 발진과 플라즈마 생성에 시간 지연이 발생하지 않기 때문에, 플라즈마의 생성을 지극히 짧은 간격, 예를 들면 0.5초 정도로 제어할 수 있어, 흡착 공정 및 개질 공정을 여러 번 반복하 여 성막하는 ALD법에 매우 적합하다.
이 동축형 공진 캐비티(11)의 비금속 파이프(111) 내에서 플라즈마에 의해 여기된 반응 가스는, 반응 가스 도입실(12)을 거쳐, 샤워 헤드부(13)로 도입된다. 반응 가스 도입실(12)은, 예를 들면 알루미늄제이며, 그 내벽에는 파티클 발생 방지를 위해서 석영제 내면을 마련하는 것이 바람직하다. 이 경우, 도 1 중에 나타낸 것처럼, 가스 도입실(12) 내벽의 하부 영역(샤워 헤드부(13) 측)에 석영제 내면을 설치해도 좋지만, 바람직하게는, 내벽 전면에 석영제 내면을 마련한다. 또, 라디칼 상태 가스의 에너지를 잃기 어렵게 하기 위해서, 반응 가스 도입실(12)의 내벽 표면을 알루마이트 가공하여도 좋다.
또, 반응 가스 도입실(12)은, 도시하지 않은 냉각 수단에 의해 냉각해도 좋다. 반응 가스 도입실(12)과 샤워 헤드부(13) 사이에는 세라믹 플랜지(122, 예를 들면, 두께 10mm)를 마련하고, 고정구(123 및 124)로 고정되어 있다. 이 세라믹 플랜지(122)는, 샤워 헤드부(13)의 열에 의해 반응 가스 도입실(12)이 가열되지 않게 열을 차단하기 위해 설치되며, 진공 밀봉성, 내열성, 열차단성으로부터 알루미나 세라믹이 바람직하다.
샤워 헤드부(13)는, 원반상 부재(13a)와, 링상 부재(13b)와, 제1의 샤워판(13c)과, 제2의 샤워판(13d)으로 되며, 적당히 고정구(123)로 고정되어 있다. 원반상 부재(13a)에는, 바람직하게는, 도시하지 않은 히터 및 열전대가 설치되고, 히터에 의해, 샤워 헤드부(13)를 소정의 온도(예를 들면 150℃ 정도)가 되도록 가열하여, 이 가열된 온도를 열전대로 측정하여 모니터링할 수 있도록 구성된다. 또, 원반상 부재(13a)에는 반응 가스 도입실(12)과 연통하는 개구부가 형성되어 있고, 이 개구부와 링상 부재(13b)의 개구부로부터 반응 가스가 도입되어 확산되는 반응 가스 확산실(131)이 구성되어 있다. 반응 가스 확산실(131)은 석영제의 내면이 내벽 전체에 마련되고, 그 저면에는 복수의 반응 가스 분출 구멍(132)이 형성되어 있다. 이 반응 가스 분출 구멍(132)은, 제1의 샤워판(13c) 및 제2의 샤워판(13d)을 관통하여 샤워 헤드부(13)의 저면까지 도달하고 있다.
게다가 원반상 부재(13a)에는, 원료 가스 도입 장치에 접속하는 원료 가스 도입관(133)이 설치되고, 이 원료 가스 도입관(133)은 가스 통로(134), 즉 링상 부재(13b)의 외주부에 마련된 가스 통로(134a) 및 제1의 샤워판(13c)의 외주부에 마련된 가스 통로(134b)를 개입시켜 제2의 샤워판(13d)에 형성된 원료 가스 확산실(135)에 접속되어 있다. 이 가스 통로(134)는 1 이상의 다단으로 구성되고, 각 단은 2n-1(n은 단수)로 표현되는 수의 가스 통로(134a 및 134b)를 가지고 있다. 그리고 가스 통로(134)는, 상기 원료 가스 도입관(133)과 1단째의 가스 통로(134a)의 접속 위치로부터 최종단의 가스 통로(134b)와 원료 가스 확산실(135)의 각 접속 위치까지의 거리가 모두 동일하게 구성되어 있다. 이 가스 통로(134)에 대해 도 2 및 도 3을 이용하여 상세하게 설명한다. 도 2는 (a) 링상 부재(13b), (b) 제1의 샤워판(13c), 및 (c) 제2의 샤워판(13d)의 횡단면도이고, 도 3은 원료 가스 도입관(133), 가스 통로(134) 및 원료 가스 확산실(135)의 배치 관계를 설명하기 위한 설명도이다.
가스 통로(134)는, 링상 부재(13b)에 설치된 원호상의 하나의 가스 통로(134a)와, 제1의 샤워판(13c)의 반응 가스 분출 구멍(132)이 형성되어 있는 영역의 주변부에 설치된 원호상의 2개의 가스 통로(134b)로 된다. 가스 통로(134a)의 중앙 상부에는 원료 가스 도입관 (133)이 접속되어 있다. 그리고 가스 통로(134a)의 양단의 저부에는 각각 접속 구멍(134c)이 형성되고, 이 각 접속 구멍(134c)은 제1의 샤워판 (13c)에 설치된 가스 통로(134b)의 각각의 중앙 상부에 접속되어 가스 통로(134a)와 가스 통로(134b)는 연통하고 있다.
또, 가스 통로(134b)의 각각의 양단의 저부에는 접속 구멍(134d)이 형성되고, 이 접속 구멍(134d)은 제2의 샤워판(13d)에 설치된 원료 가스 확산실(135)의 네 귀퉁이의 상부에 접속되어 원료 가스 확산실(135)에 원료 가스가 접속 구멍(134d)을 개입시켜 균일하게 토출되도록 구성되어 있다.
이와 같이, 상기 원료 가스 확산실(135)과 원료 가스 도입관(133)을 접속하는 가스 통로(134)는 2단 구성이며, 1단째의 가스 통로(134a)는 그 중앙에 상기 원료 가스 도입관(133)이 접속되고 2단째의 가스 통로(134b)는 그 중앙에 전단의 가스 통로(134a)의 양단 저부에 설치된 접속 구멍(134c)이 접속되어 전단의 가스 통로(134a)와 연통하고, 또한, 그 각 가스 통로(134b)의 양단 저부에 형성된 접속 구멍(134d)에 의해 원료 가스 확산실 (135)에 접속되어 1개의 가스 유로로서 구성되어 있다. 그리고 이 가스 통로(134)에서는, 원료 가스 도입관 (133)으로부터 각 접속 구멍(134d)까지의 거리는 모두 동일해지도록 구성되어 있으므로, 원료 가스가 동시에 원료 가스 확산실에 같은 양 도달하여 균일하게 원료 가스 확산실(135)에 확산될 수 있다. 덧붙여 도면 중에서는, 가스 통로를 2단 구성으로서 접속 구멍(134d)을 4개 마련하고 있지만, 가스 통로를 3단 이상으로 구성하여 접속 구멍(134d)의 수를 늘려도 좋다. 예를 들면, 제1의 샤워판의 저부에, 제1의 샤워판과 같은 반응 가스 분출 구멍을 형성하고, 또한, 가스 통로를 4개 형성한 제3의 샤워판을 마련하고, 이 제3의 샤워판의 4개의 가스 통로의 각 중앙 상부에 제1의 샤워판의 접속 구멍이 접속하도록 하고, 이 제3의 샤워판의 각 가스 통로의 양단부에 제2의 샤워판의 원료 가스 확산실로의 접속 구멍을 각각 형성하여, 즉, 접속 구멍을 8개 마련하고, 그것에 아울러 원료 가스 확산실의 형상을 설계하여, 더욱 균등하게 가스가 원료 가스 확산실 내에 확산되도록 구성해도 좋다. 또한, 도 중에서는 원료 가스 확산실은 사각형으로 했지만, 원형이나 다른 각형이어도 좋다.
이 원료 가스 확산실(135)에는, 원료 가스 분출 구멍(136)이 마련되어 있고, 이 원료 가스 분출 구멍(136)도 샤워 헤드부(13)의 저면까지 관통하여 있다. 이 경우, 균일하게 원료 가스가 진공 챔버 내에 분출하도록, 분출 구멍의 컨덕턴스를 작게 하는 것이 바람직하다. 예를 들면, 도 1 및 2에 나타낸 장치에서는, 원료 가스 분출 구멍(136)을 구멍 지름 φ0.7~1mm 정도, 구멍 깊이 10mm 정도로서 원료 가스를 균일하게 진공 챔버 내에 공급할 수 있도록 구성하고 있다.
반응 가스 분출 구멍(132)은 샤워 헤드부(13)의 저면까지 관통하고 있으므로, 이 샤워 헤드부(13)의 저면에는, 반응 가스 분출 구멍(132)과 원료 가스 분출구멍(136)이 각각 일정한 거리를 두고 매트릭스상으로 늘어서 있고, 이것에 의해 기판 상에 치우침 없이 원료 가스 및 반응 가스가 조사되도록 구성되어 있다. 각 원료 가스 분출 구멍(136)의 중심간 거리와 각 반응 가스 분출 구멍(132)의 중심간 거리는, 같은 거리(예를 들면, 14mm)로 설정되어 있다. 이 경우, 원료 가스 분출 구멍(136)의 직경보다 반응 가스 분출 구멍(132)의 직경이 크고, 예를 들면, 원료 가스 분출 구멍(136)의 직경을 1mm로 하면, 반응 가스 분출 구멍(132) 직경은 5mm 이다. 이것은 개질 공정에 있어서 반응 가스의 유량 쪽이 원료 가스의 유량에 비해 많기 때문이다.
이와 같이 구성된 샤워 헤드부(13)에서는, 비금속 파이프(111)로부터 반응 가스 도입실(12)을 거쳐 샤워 헤드부(13)로 도입된 반응 가스는, 반응 가스 확산실(131) 전체에 퍼지고, 각 반응 가스 분출 구멍(132)을 거쳐 진공 챔버 내에 공급된다. 또, 원료 가스 도입관(133)으로부터 도입된 원료 가스는, 가스 통로(134)에 가스 통로(134a)의 중앙부로부터 도입되고, 가스 통로(134a)의 좌우로 균등하게 나뉘어 접속 구멍(134c)을 개입시켜 하단에 형성된 각 가스 통로(134b)로 확산한다. 그리고 가스 통로(134b)를 좌우로 균등하게 나뉘어 진행되어, 접속 구멍(134d)으로부터 원료 가스 확산실(135)로 균일하게 확산하고, 그 후, 원료 가스 확산실(135) 저면의 각 원료 가스 분출 구멍(136)으로부터 진공 챔버 내에 균일하게 공급된다.
그런데 원료 가스는, 원료가 60℃를 넘으면 열분해를 일으키므로, 60℃ 이하의 온도로 가스화하여 반송하는 것이 필요하다. 그 때문에, 원료 가스 도입 장치는, 도 4 및 도 5에 나타내듯이 구성되는 것이 바람직하다. 이하, 도 4 및 도 5를 참조하여 설명한다.
도 4 (a) 및 (b)는, 원료 가스 도입 장치(15)의 구성을 나타내는 모식도이 다. 원료 Zr(BH4)4는, 융점(28.7℃)보다 낮은 온도인 -10℃~25℃로 유지, 특히-5℃~5℃로 유지하는 것이 바람직하다. Zr(BH4)4는 열 안정성이 매우 나쁘기 때문에, 25℃보다 높으면 자기 분해에 의해 원료 탱크 중에서, ZrB2나 B2H6 등으로 분해해 버리기 때문이며, 한편, -10℃ 미만에서는 ALD의 원료로서 이용하려면 증기압이 2 mmHg 미만이 되어 너무 낮기 때문이다. 예를 들면, 0℃(증기압 3.7mmHg)로 유지된 탱크(151) 내에 메쉬의 미세한 망(152)을 마련하고 그 망 위에 과립상의 원료(153)를 올리고, 버블링 가스로서의 Ar, He 등의 불활성 가스를 매스 플로우 컨트롤러(154)를 개입시켜 탱크(151) 내의 하부에 공급하여, 망(152)의 하부에서 위쪽으로 불활성 가스를 원료(153) 내를 흘리고, 이 버블링에 의해 원료(153)를 승화시켜, 버블링 가스와 함께 원료 가스를 원료 가스 도입관(133)을 개입시켜 원료 가스 확산실(135) 내로 도입하거나(도 4a), 또는 예를 들면 0℃ 정도로 유지된 탱크(151) 내에 설치된 2매의 망(152a 및 152b)의 사이에 과립상의 원료(153)를 협지시키고, 버블링 가스로서의 Ar, He 등의 불활성 가스를 매스 플로우 컨트롤러(154)를 개입시켜 탱크(151) 내의 망(152a)으로부터 망(152b)으로 원료 내를 흘려, 이 버블링에 의해 원료(153)를 승화시키고, 버블링 가스와 함께 원료 가스를 원료 가스 도입관(133) 및 가스 통로(134)를 개입시켜 원료 가스 확산실 (135) 내로 도입한다(도 4(b)).
원료 가스의 도입은 또한, 저차압 매스 플로우를 이용한 도 5에 나타낸 원료 가스 도입 장치(15)에 의해 다음과 같이 해도 좋다. 즉, 예를 들면 0℃(증기압 3.7mmHg) 정도로 유지된 탱크(151) 내에 원료를 넣고, 이것을 저차압 매스 플로우 컨트롤러와 같은 매스 플로우 컨트롤러(154)를 이용하여, 원료(153)의 기화 가스의 유량을 직접 제어하면서 원료 가스 도입관(133) 및 가스 통로(134)를 개입시켜 원료 가스 확산실(135) 내로 도입한다. 이 경우, 원료 가스를 챔버에 도입하려면, 반드시 챔버 내의 압력을 원료 가스의 증기압보다 낮게 할 필요가 있다. 예를 들면, 원료 탱크를 0℃로 냉각 보온하고 있는 경우, 원료 가스의 증기압은 3.7mmHg로이므로, 챔버 압력을 3.7mmHg보다 낮게 한다.
상기, 샤워 헤드 구조(1)에 대향하여 진공 챔버 내에 설치된 기판 받침대에 배치되고, ZrB2막으로 되는 장벽막이 성막되는 성막 대상 기판으로서는, 이하와 같은 것이 있다. 예를 들면, Si 기판 등의 반도체 장치에 대해 통상 이용되는 기판 상에 스퍼터법이나 CVD법 혹은 도포법 등에 의해, 예를 들면 P-SiO막, BPSG막, HDP-PSG막 등의 절연막이나, P-SiOC막이나, 다공성 Low-k막 등의 저유전율막을 형성하고, 이 절연막이나 저유전율막을 통상의 식각 조건으로 식각 하여 종횡비가 높은 미세한 홀, 트렌치 등을 형성한 기판을 들 수 있다. 본 발명에 의하면, ALD법에 의해, 이 홀 등의 상부에서 장벽막이 오버행 없이, 홀 등의 내면에 층덮힘 좋게 양질의 장벽막을 형성할 수 있다.
상기 샤워 헤드 구조(1)를 구비한 제1의 성막 장치를 이용하는 본 발명의 장벽막 형성 방법은, 기판 상에 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물을 진공 챔버 내에 배치하고, 이 성막 대상물의 표면 상에서, ALD법을 이용 하여 Zr(BH4)4 가스로 되는 원료 가스와, H2 가스로 되는 반응 가스를 플라즈마에 의해 여기하여 얻어진 가스를 반응시켜, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrB2막으로 되는 장벽막을 형성하는 방법이다. 이 경우, 성막 대상물의 기판 온도를 50℃ 이상 300℃ 이하, 바람직하게는 150℃ 이상 200℃ 이하로 설정하여 장벽막을 형성한다. 50℃보다 저온이면, 온도가 너무 낮아 막을 얻을 수 없고, 또, 300℃보다 고온이면, 층덮힘이 좋은 막을 얻을 수 없기 때문이다.
본 발명의 성막 방법인 ALD법의 공정 순서로서는, 도 6의 타이밍 차트로 나타낸 것이 적합하다.
본 발명의 실시에 이용하는 성막 장치의 배기계로서는, 예를 들면, 알박제 드라이 펌프 PDR-90-CH(배기 능력: 1500L/min)(도시하지 않음)와 에바라 제작소제 터보 분자 펌프 TMP-1003LM(배기 능력: 1080L/sec)의 2단의 진공 펌프(도시하지 않음)를 이용할 수 있다. 이 경우, 반응실과 터보 분자 펌프의 사이에, MKS사제의 버터플라이 타입의 압력 컨트롤 밸브를 설치하여, 반응실 내의 압력을 버터 플라이 밸브의 개방도를 바꾸는 것에 의해 제어할 수 있도록 하는 것이 바람직하다.
처음에, 진공 챔버 내에 성막 대상물을 배치한 후, 진공 챔버 내를 일정 압력(예를 들면, 1Torr 이상, 바람직하게는 3Torr 이상)으로 유지하고, 성막 대상물을 소정 온도(50~300℃)로 가열한다. 그 후, ALD 성막 공정을 개시한다. 먼저, 배기 능력을 올린 상태(버터플라이 개방도 100%)로, 반응 가스인 H2 가스만을 비금속 파이프(111)에 1~100sccm 정도 흘린다. 반응 가스인 H2를 1~100sccm 정도 흘리는 것 에 의해, 반응 가스의 배관 라인에 원료 가스가 역류하는 것을 방지할 수 있다.
이어서 흡착 공정에 들어간다. 샤워 헤드부(13)는, 도 7에 나타낸 것처럼 작동하고 있다. 즉, 반응 가스(G1)를, 비금속 파이프(111) 및 반응 가스 도입실(12)을 통과시켜, 샤워 헤드부(13)의 반응 가스 확산실(131)에 반송하고, 반응 가스 분출 구멍(132)을 거쳐 반응 가스(G1)를 진공 챔버 내에 도입한다. 덧붙여, 흡착 공정에서는 마이크로파는 발진하고 있지 않기 때문에, 반응 가스(G1)는 플라즈마 상태는 아니다. 이 반응 가스(G1)인 H2 가스를 1~100sccm 흘리면서, 원료 가스(G2)인 Zr(BH4)4 가스를 원료 가스 도입관(133)으로부터 도입하여, 원료 가스 확산실(135)을 거쳐 원료 가스 분출 구멍(136)으로부터 진공 챔버 내에 도입하여, 성막 대상물 상에 흡착시킨다.
흡착 공정에서, 원료 가스를 도입하는 것에, 도 4 (a) 및 (b)에 나타낸 버블링 가스인 불활성 가스(Ar, He 등)로 Zr(BH4)4 가스의 유량을 간접적으로 제어하는 원료 가스 도입 장치(15)를 이용하는 경우에는, 버블링 가스의 유량을 10~500sccm의 범위 내에서 도입하여 Zr(BH4)4 가스의 유량을 간접적으로 제어한다. 10sccm보다 적으면 함유되는 원료의 양이 너무 적어 성막하지 못하고, 또, 500sccm보다 많으면, 함유되는 원료가 너무 많아서 효과적으로 성막할 수 없기 때문이다. 이 경우, 챔버 압력은, 10Torr 이하로 유지하는 것이 바람직하다. 10Torr보다 높으면 진공 챔버 내부의 압력을 제어하는 시간이 많이 걸려, ALD법에는 적합하지 않기 때문이다.
한편, 원료 가스를 도입하는 것에, 도 5에 나타낸 저차압 매스 플로우를 이용하는 장치로 직접 원료 가스의 유량을 제어하는 경우에는, 원료 가스의 유량은 1~100sccm 이다. 원료 가스가 1sccm보다 적으면 원료 가스가 너무 적어 실효적인 성막률을 얻을 수 없고, 100sccm보다 많으면, 원료의 소비량이 너무 많아서 실용적인 공정으로서 채산이 맞지 않기 때문이다. 이 경우, Zr(BH4)4 가스의 열적 안정성의 문제로부터 원료:Zr(BH4)4의 온도를 -10℃~25℃, 바람직하게는 -5℃~5℃의 범위로 제어하고 있으므로, 챔버 압력은 제어 온도에서의 Zr(BH4)4 가스의 증기압의 값으로부터 10Torr 이하로 한다. 챔버 내 압력은, 바람직하게는 2Torr 이하, 더욱 바람직하게는 0.1Torr 이하가 되도록 유지해도 좋다.
덧붙여 흡착 공정에서의 압력 제어는, 역류 방지용의 반응 가스 H2를 흘린 상태로, Zr(BH4)4 가스(버블링 가스를 도입하고 있는 경우에는, 원료 가스와 버블링 가스)를 진공 챔버에 도입하면서, 압력 컨트롤 밸브의 개방도를 조정하여 제어한다.
소정 시간, 원료 가스인 Zr(BH4)4 가스(버블링 가스를 도입하고 있는 경우는, 원료 가스 및 버블링 가스)를 진공 챔버에 공급한 후, 원료 가스의 공급을 정지하여 흡착 공정을 종료한다. 역류 방지용의 H2 가스(반응 가스)는 정지하지 않고 도입을 계속한다. 원료 가스의 정지와 동시에 압력 컨트롤 밸브의 개방도를 100%로 하여 단절 상태로 한다. 진공 챔버 내의 압력이 원료 가스의 도입시(흡착 공정)의 압력에 비해 소정의 값 이하가 된 시점에서 개질 공정에 들어간다.
개질 공정에 들어가는 것과 동시에, 흡착 공정으로부터 연속하여 도입 계속 되고 있는 반응 가스로서의 H2 가스 유량을 변화시켜 10~500sccm으로 하고, 압력 컨트롤 밸브의 개방도를 조정하여 챔버 압력을 소정의 압력으로 제어함과 동시에, 마이크로파를 발진한다. 이 경우에, 마이크로파의 발진 주파수(공진 주파수)가 예를 들어 2.45GHz이면, 투입 파워는, 0.1~5kW이다. 투입 파워가 0.1kW보다 작으면, 플라즈마 파워가 작아 효과가 적고, 5kW보다 크면, 방전관에의 손상이 커지는 경우가 있기 때문이다.
이 개질 공정에 있어서의 장치의 작동 상태를 도 8에 나타낸다. 이 경우에는, 원료 가스(G2)의 공급은 정지하고 있지만, 반응 가스(G1)는 공급된 채로 있다. 마이크로파 전원(142)에 의해 마그네트론(141)으로부터 발진된 마이크로파를 안테나(143)로부터 동축형 공진 캐비티(11) 내에 공급하여, 비금속 파이프(111) 중의 반응 가스(G1)를 노출부(111a)의 영역에서 플라즈마화 시킨다. 플라즈마 상태가 되는 것에 의해, 여기된 반응 가스(G3)는, 반응 가스 도입실(12) 및 샤워 헤드부(13)의 반응 가스 확산실(131)에 도입된다. 그리고 반응 가스 확산실(131)을 개입시켜 반응 가스 분출 구멍(132)으로부터 진공 챔버 내로 도입되어, 성막 대상물 상에 흡착된 원료 가스와 반응하여 막을 개질한다.
개질 공정에서의 진공 챔버 압력은 10Torr 이하가 바람직하다. 10Torr를 넘으면 챔버 내부의 압력을 제어하는 시간이 많이 걸려 ALD법에는 적합하지 않기 때 문이다.
소정 시간 후, 마이크로파의 발진을 정지하고 개질 공정을 종료한다. 개질 공정의 종료와 동시에 반응 가스인 H2 가스 유량을 역류 방지용으로서 1~100sccm으로 변경하고, 또한, 압력 컨트롤 밸브의 개방도를 100%로 하여 단절 상태로 한다. 진공 챔버 내의 압력이, 개질 공정의 압력에 비해 소정의 값 이하가 된 시점에서, 다시 흡착 공정에 들어간다.
이 흡착 공정 및 개질 공정을 수회~수백회 반복하는 것에 의해 소망하는 막 두께를 가지는 장벽막을 형성할 수 있다.
본 발명의 성막 방법인 ALD법의 공정 순서로서는, 그 밖에 도 9의 타이밍 차트에 나타낸 것이 적합하다. 이하, 도 9에 나타낸 타이밍 차트를 설명한다.
처음에, 진공 챔버 내에 성막 대상물을 배치한 후, 진공 챔버 내를 일정 압력(예를 들면, 1Torr 이상, 바람직하게는 3Torr 이상)으로 유지하고, 성막 대상물을 소정 온도(50~300℃)로 가열한다. 그 후, ALD 성막 공정을 개시한다.
우선, 반응 가스로서의 H2 가스를 비금속 파이프(111)에 1~100sccm 정도 도입하고, 소정의 압력이 되도록 압력 컨트롤 밸브의 개방도를 조정함과 동시에, 마이크로파 공급 수단(14)에 의해 마이크로파를 발진하여 플라즈마를 형성한다. 그리고 이 플라즈마가 형성되어 반응 가스가 수소 라디칼로 되는 상태에서, 흡착 공정을 개시한다. 흡착 공정에 있어서도, 반응 가스로서의 H2 가스를 1~100sccm 도입하고, 또한, 마이크로파를 발진하여 수소 라디칼을 발생시키고 있는 것은, 반응 가스 를 도입하는 것에 의해 반응 가스의 배관 라인에 원료 가스가 역류하는 것을 막고, 또한, 흡착 공정에서도 수소 라디칼과 Zr(BH4)4 가스를 기판 상에서 반응시켜, 보다 막질을 향상시키고, 게다가 흡착 공정 및 개질 공정 사이의 진공 단절 시간을 가능한 한 짧게 하여 생산성 향상을 실현하기 위함이다. 이 경우, 수소 라디칼과 원료 가스:Zr(BH4)4 가스가 기상 중에서 섞여 CVD 현상이 발생하여, 미세 홀에서의 층덮힘이 저하할 가능성이 있다.
거기서, 성막 대상물의 온도를 보다 저온으로 유지(50~300℃)하는 것에 의해, 기상 중에서의 CVD 형상이 현저해지지 않도록 제어하고 있다.
마이크로파 발진을 위한 투입 파워는 마이크로파의 발진 주파수(공진주파수)가 예를 들면 2.45GHz인 경우, 0.1~5kW이다. 이 경우에, 0.1kV보다 작으면, 플라즈마 파워가 작아 효과가 적고, 5kW보다 크면, 방전관에의 손상이 커지는 문제가 있다.
원료 가스로서의 Zr(BH4)4 가스를 원료 가스 도입관(133)으로부터 도입하고, 흡착 공정을 개시하여 원료 가스를 원료 가스 확산실(135)을 거쳐 원료 가스 분출 구멍(136)으로부터 진공 챔버 내에 도입하여 성막 대상물 상에 흡착시킨다. 즉, 흡착 과정에서, 도 10에 나타내듯이 샤워 헤드 구조(1)를 작동시켜, 반응 가스(G1)를 비금속 파이프(111)를 통과시키면서 마이크로파 발진에 의해 플라즈마 상태로 하고, 플라즈마화시킨 반응 가스(G3)를 반응 가스 도입실(12) 및 반응 가스 분출 구멍(132)을 통과시켜 진공 챔버에 도입한다.
흡착 공정에서, 원료 가스를 도입하는 것에, 도 4 (a) 및 (b)에 나타낸 버블링 가스인 불활성 가스(Ar, He 등)로 Zr(BH4)4 가스의 유량을 간접적으로 제어하는 원료 가스 도입 장치(15)를 이용하는 경우에는, 버블링 가스의 유량을 10~500sccm에서 변화시켜 Zr(BH4)4 가스의 유량을 간접적으로 제어한다. 이 경우, 챔버 압력은, 10Torr 이하로 유지하는 것이 바람직하다. 10Torr보다 높으면 챔버 내부의 압력을 제어하는 시간이 많이 걸려, ALD법에는 적합하지 않기 때문이다.
한편, 원료 가스를 도입하는 것에, 도 5에 나타낸 저차압 매스 플로우를 이용한 원료 가스 도입 장치(15)로 직접 원료 가스의 유량을 제어하여 도입하는 경우에는, 원료 가스의 유량은 1~100sccm 이다. 원료 가스가 1sccm보다 적으면 실효적인 성막률을 얻을 수 없고, 100sccm보다 많으면, 원료의 소비량이 너무 많아서 실용적인 공정으로서 채산이 맞지 않다. 이 경우, Zr(BH4)4 가스의 열적 안정성의 문제로부터 원료:Zr(BH4)4의 온도를 -10℃~25℃, 바람직하게는 -5℃~5℃의 범위로 제어하고 있으므로, 챔버 압력은 제어 온도에서의 Zr(BH4)4 가스의 증기압의 값으로부터 10Torr 이하, 바람직하게는 2Torr 이하, 더욱 바람직하게는 0.1Torr 이하가 되도록 유지한다.
덧붙여 흡착 공정에서의 압력 제어는, 역류 방지용의 반응 가스 H2를 흘린 상태로, Zr(BH4)4 가스(버블링 가스를 도입하고 있는 경우에는, 원료 가스와 버블링 가스)를 챔버에 도입하면서, 압력 컨트롤 밸브의 개방도를 조정하여 제어한다.
소정 시간, 원료 가스인 Zr(BH4)4 가스(버블링 가스를 도입하고 있는 경우는, 원료 가스 및 버블링 가스)를 진공 챔버에 공급한 후, 원료 가스의 공급을 정지하여 흡착 공정을 종료한다. 이때, 반응 가스인 H2 가스 및 마이크로파의 발진은 정지하지 않는다.
원료 가스의 정지와 동시에 개질 공정에 들어간다. 덧붙여, 도 6의 경우와 같이, 원료 가스의 정지와 동시에 압력 컨트롤 밸브의 개방도를 100%로 하여 단절 상태로 하고, 진공 챔버 내의 압력이 원료 가스의 도입시(흡착 공정)의 압력에 비해 소정의 값 이하가 된 시점에서 개질 공정에 들어가도 좋다.
개질 공정에서는, 우선, 흡착 공정으로부터 연속하여 도입 계속되고 있는 반응 가스인 H2 가스의 유량을 변화시켜 10~500sccm으로 하고, 압력 컨트롤 밸브의 개방도를 조정하여 챔버 압력을 소정의 압력으로 제어한다. 이 개질 공정에 있어서의 장치의 작동 상태를 도 8에 나타낸다. 이 경우에는, 원료 가스(G2)의 공급은 정지하지만, 반응 가스(G1)는 공급된 채로 있다. 마이크로파는 발진 계속되고 있어, 마이크로파 전원(142)에 의해 마그네트론(141)으로부터 발진된 마이크로파를 안테나(143)로부터 동축형 공진 캐비티(11) 내에 공급하여, 비금속 파이프(111) 중의 반응 가스(G1)를 노출부(111a)의 영역에서 플라즈마화 하게 한다. 이 플라즈마 상태가 되는 것에 의해 여기된 반응 가스(G3)는 반응 가스 도입실(12) 및 샤워 헤드부(13)의 반응 가스 확산실(131)에 도입된다. 그리고 반응 가스 확산실(131)을 개입시켜 반응 가스 분출 구멍(132)으로부터 진공 챔버 내로 도입되고, 성막 대상물 상에 흡착된 원료 가스와 반응하여 보다 막을 개질한다. 개질 공정에서의 진공 챔버 압력은, 도 6의 경우와 같다.
소정 시간 후, 마이크로파의 발진을 계속한 채로, 반응 가스인 H2 가스의 유량을 1~100sccm으로 변경함과 동시에 원료 가스인 Zr(BH4)4 가스를 도입하고, 압력 컨트롤 밸브의 개방도를 조정하여 챔버의 압력을 흡착 공정의 소정의 압력으로 조정하는 것에 의해 개질 공정을 종료함과 동시에 다음의 흡착 공정을 개시한다.
이러한 흡착 공정 및 개질 공정을 수회~수백회 반복하는 것에 의해 소망하는 막 두께를 가지는 장벽막을 형성할 수 있다.
상기의 어느 공정의 개질 공정에 있어서도, 반응 가스의 라디칼 상태를 보다 길게 유지하기 위해서, H2 가스에 N2 및 NH3로부터 선택된 적어도 하나를 혼합시킨 것, 또는, H2 가스에 N2 및/또는 NH3를 혼합시킨 것에 더하여 불활성 가스(Ar, He 등)를 혼합시킨 것을 이용해도 좋다. N2 및/또는 NH3를 혼합했을 경우, 최종 생성물인 장벽막은 ZrBN막이다. H2 가스에 혼합한 가스의 개질 공정에서의 유량은 H2 가스와 같이 각 가스 모두 10~500sccm에서 변화시킬 수 있지만, 장치의 배기 능력이나 진공 펌프 부담의 문제도 있기 때문에, 개질 공정 시에 도입하는 가스의 총 유량은 장치에 따라 적당히 제어한다. 반응 가스의 총 유량은 10~1000sccm 정도의 범위가 바람직하다.
상기한 바와 같이, ZrBN막이 형성되는 것은, Zr-B 결합에 비해 Zr-N 결합 쪽 이 안정하기 때문에, 반응 가스 중에 플라즈마 여기된 수소 라디칼 이외에 질소 라디칼이나 암모니아 라디칼이 존재하면, Zr-N 결합이 형성되기 때문이다. 따라서, H2 가스에 대해서 NH3 가스나 N2 가스의 비율을 늘리는 것에 의해 ZrBN막 중의 Zr-N 결합의 비율이 증가하게 되어, H2 가스에 대한 NH3나 N2 가스의 유량비에 따라 ZrBN의 막질이 변화하게 된다.
게다가, B2H6를, 반응 가스 확산실(131)에 설치된 가스 도입구(137)로부터 10~1000sccm 혼합해도 좋다. Zr(BH4)4가 열분해 하여 가능한 생성물인 B2H6를 다량으로 챔버에 넣는 것에 의해 Zr(BH4)4의 분해를 억제하여, Zr(BH4)4를 안정시킬 수 있다.
이하, 본 발명의 성막 방법의 실시에 이용되는 제2의 성막 장치에 대하여 설명한다.
도 11은, 제2의 성막 장치에 이용되는 제2의 샤워 헤드 구조(2)의 모식도이며, 도 1과 동일한 구성요소에 대해서는 동일한 참조 부호를 붙인다. 도 1에 나타낸 제1의 성막 장치로 극히 다량으로 기판을 처리하여 성막을 실시하면, 비금속 파이프(111)의 내측이 도 12의 SEM 사진에 나타내듯이 식각되는 경우가 있다. 따라서, 비금속 파이프 내의 식각을 억제하기 위해서, 이 제2의 성막 장치의 샤워 헤드 구조(2)는, 제 1의 성막 장치에 이용되는 샤워 헤드 구조(1)가 갖고 있지 않은 냉각 수단을 적어도 하나 갖는다. 즉, 제2의 샤워 헤드 구조(2)는, 제1의 샤워 헤드 구조(1)와는 동축형 공진 캐비티 내를 냉각하는 냉각 가스 도입 수단(21)을 가지고 있는 점, 및 비금속 파이프(111)를 냉각용 유체를 흘릴 수 있는 유로를 형성한 비금속(예를 들면, 알루미나나 석영)제의 2중 파이프(22)로 변경하고 있는 점이 다르며, 이러한 냉각 수단의 적어도 하나를 가지는 것이다. 이하, 변경점에 대해 설명한다.
냉각 가스 도입 수단(21)은, 가스원(211)과 가스원(211)에 접속된 가스관(212)과 가스관(212)에 개입 설치된 밸브(213)를 갖고, 동축형 공진 캐비티(11)에 부설되어 있다. 이 냉각 가스 도입 수단(21)에 의해, 동축형 공진 캐비티(11) 내부에 냉각 가스를 도입하여 내부를 냉각 가스로 채울 수 있고, 도시하지 않은 배기 수단으로 냉각 가스를 배기하면서 순환시킨다. 이와 같이 하여, 동축형 공진 캐비티(11) 내부의 온도를 일정하게 유지하여, 2중 파이프(22)의 노출부(111a) 부근을 냉각하는 것이 가능하다.
가스원(211)으로부터 동축형 공진 캐비티(11) 내에 도입되는 냉각 가스로서는, 인체에 영향이 없고 청정실 내에 방출될 수 있는 냉각 가스, 예를 들면, 드라이 N2 가스, 드라이 Ar가스, 드라이 He 가스, 드라이 02 가스 등을 이용할 수 있다.
다음에, 2중 파이프(22)에 대해 도 13을 이용하여 설명한다. 도 13 (a)는 2중 파이프(22)의 종단면도이며, 도 13(b)는 도 13(a) 중의 선 A-A'로부터 본 단면도이고, 도 13(c)는 도 13(b) 중의 선 B-B' 사이로부터 본 단면도이다. 도 13(a)에 나타낸 것처럼, 2중 파이프(22)는 그 측벽이 2중으로 되어 있고, 측벽(221)의 내측 에는 냉각용 유체 통로(222)가 형성되어 있다. 이 냉각용 유체 통로(222)의 상벽에는 유입구(223) 및 유출구(224)가 설치되어 있다. 그리고 도 13(b)에 나타낸 것처럼, 냉각용 유체 통로(222)에는, 2개의 칸막이(225)가 삽입되어 있고, 도 13(c)에 나타낸 것처럼, 이 칸막이(225)의 하부에는 개구부(226)가 설치되어 있다. 이것에 의해, 냉각용 유체 통로(222)의 유입구(223) 측의 상류부(222a)와 유출구(224) 측의 하류부(222b)는 연통하여, 유입구(223)로부터 유입한 액체는 냉각용 유체 통로(222)의 상류부(222a)를 위에서 밑으로 흘러 개구부(226)를 통과하고, 하류부(222b)에 진입하여, 이것을 아래에서 위로 채워, 유출구(224)로부터 측벽(221) 외부로 유출한다. 이와 같이, 냉각용 유체 통로(222)는 2중 파이프 내부의 가스 통로(227)의 외주를 거의 모두 덮고 있으므로, 가스 통로를 위에서 아래까지 냉각할 수 있다. 덧붙여, 도 중에서는, 유입구 (223) 및 유출구(224)는 2중 파이프(22)의 표면에 마련하고 있지만, 각각 측면에 설치해도 좋다.
이 경우, 냉각용 유체는, 마이크로파의 발진 주파수에 공진하지 않는 유체 매체일 것이 필요하고, 예를 들면, 발진 주파수가 2.45GHz의 경우, 3M사제·상품명 플로리너트(Fluorinert)의, FC-87, FC-72, FC-84, FC-77, FC-75, FC-3283, FC-40, FC-43, FC-70, FC-5312나, 아우지몬트 소시에타 페르 아치오니제·상품명 가텐(Garten, 등록상표)을 이용할 수 있다. 또, 에틸렌글리콜 혹은 에틸렌글리콜 주체로 한 액체 매체를 이용할 수도 있다. 게다가 드라이 N2 가스, 드라이 Ar 가스, 드라이 He 가스, 드라이 02 가스 등의 기체를 이용할 수도 있다.
이와 같이, 냉각 가스 도입 수단(21) 및/또는 2중 파이프(22)를 마련한 것에 의해, 2중 파이프(22) 내부의 가스 통로(227)의 내면의 식각을 억제할 수 있음과 아울러, 노출부(111a)의 영역에서 형성된 플라즈마 중의 수소 라디칼 등의 라디칼이 열에 의해 에너지를 잃는 것을 방지하여, 라디칼의 생성 효율을 올릴 수 있어, ALD법을 효율적으로 실시할 수 있다.
상기 제2의 성막 장치를 이용하여, 제1의 성막 장치와 동일한 순서로 본 발명의 ALD법을 실시할 수 있다. 이 경우, ALD법을 실시하고 있는 도중, 냉각 가스 도입 수단(21)에 의해 냉각 가스를 계속 도입하고, 및/또는 2중 파이프(22)에 의해 가스 통로(227)를 계속 냉각한다. 이와 같이 냉각하면서 ALD법을 실시하면, 도 12에 나타낸 바와 같은 가스 통로(227) 내면의 식각이 억제됨과 아울러 수소 라디칼의 생성 효율이 향상된다. 수소 라디칼 등의 라디칼의 생성 효율이 올라도 가스 통로(227) 내면이 식각되지 않는 것은 내벽이 충분히 냉각되고 있기 때문이다.
이하, 실시예에 의해 본 발명의 성막 방법에 대해 상세하게 설명한다.
(실시예 1)
본 실시예에서는, 도 6에 나타낸 공정 순서로 제1의 성막 장치를 이용하여 성막을 실시했다. 흡착 공정에 대해서는, 시간:5초, 반응 가스(H2 가스):100sccm, 원료 가스(Zr(BH4)4 가스)용의 버블링 가스(Ar):100sccm, 배기:1000L/sec의 조건으로, 개질 공정에 대해서는, 시간:25초, 반응 가스:100sccm, 마이크로파 투입 파워:0.5kW, 배기:1000L/sec의 조건으로 성막을 실시하고, 각각 24회 반복하여 기판(S) 상에 성막했다. 얻어진 막은 두께 10㎛의 ZrB2막이었다.
(실시예 2)
도 9에 나타낸 공정 순서로, 제1의 성막 장치를 이용하여 성막을 실시했다. 흡착 공정에 대해서는, 시간:5초, 반응 가스(H2 가스):100sccm, 원료 가스(Zr(BH4)4 가스)용의 버블링 가스(Ar):100sccm, 마이크로파 투입 파워:0.5kW, 배기:1000L/sec의 조건으로, 개질 공정에 대해서는, 시간:25초, 반응 가스:100sccm, 마이크로파 투입 파워:0.5kW, 배기:1000L/sec의 조건으로 성막을 실시하고, 각각 24회 반복하여 기판(S) 상에 성막했다. 얻어진 막은 두께 10㎛의 ZrB2막이었다.
(실시예 3)
도 6에 나타낸 공정 순서로 제2의 성막 장치를 이용하여 성막을 실시했다. 시간:5초, 반응 가스(H2 가스):100sccm, 원료 가스(Zr(BH4)4 가스)용의 버블링 가스(Ar):100sccm, 배기:1000L/sec의 조건으로, 개질 공정에 대해서는, 시간:25초, 반응 가스:100sccm, 마이크로파 투입 파워:0.5kW, 배기:1000L/sec의 조건으로 성막을 실시하고, 각각 24회 반복하여 기판(S) 상에 성막했다. 또한, 이 성막 도중, 동축형 공진 캐비티(11)의 내부 및 2중 파이프(22)를 냉각했다. 얻어진 막은 두께 10㎛의 ZrB2막이며, 도 12에 나타낸 바와 같은 가스 통로(227) 내면의 식각이 억제되었다.
(실시예 4)
본 실시예에서는, 도 6에 나타낸 공정 순서로 제1의 성막 장치를 이용하여 성막을 실시했다. 흡착 공정에 대해서는, 시간:5초, 반응 가스(H2 가스에 N2를 혼합시킨 것):100sccm, 원료 가스(Zr(BH4)4 가스)용의 버블링 가스(Ar):100sccm, 배기:1000L/sec의 조건으로, 개질 공정에 대해서는, 시간:25초, 반응 가스:100sccm, 마이크로파 투입 파워:0.5kW, 배기:1000L/sec의 조건으로 성막을 실시하고, 각각 24회 반복하여 기판(S) 상에 성막했다. 얻어진 막은 두께 10㎛의 ZrBN막이었다.
본 발명에 의하면, ALD법에 적합한 장치를 이용하여 저온에서 흡착 공정 및 반응 공정을 실시하는 것에 의해, 종횡비가 높은 미세한 홀, 트렌치; 등의 상부에서의 ZrB2막 또는 ZrBN막의 오버행도 없고, 이들의 홀, 트렌치 등에 층덮힘이 양호하게 ZrB2막 또는 ZrBN막으로 된 장벽막을 형성할 수 있다. 따라서, 본 발명은 반도체 장치에 대해서 이용가능하다.

Claims (10)

  1. 반응 가스를 도입하기 위한 비금속 파이프 외주의 상부 및 하부에 떨어뜨려 설치된 도체를 구비하는 동축형 공진 캐비티와, 마이크로파 공급 회로로 되는 플라즈마 생성 수단이며, 상기 동축형 공진 캐비티 내부의 높이가 여진 파장의 1/2의 정수배이고, 비금속 파이프의 한쪽 끝으로부터 주입된 가스가, 비금속 파이프의 상기 도체를 마련하지 않은 영역에서 마이크로파에 의해 여기되어 다른 쪽 끝으로부터 플라즈마화하여 방출되도록 구성된 플라즈마 생성 수단을 구비한 성막 장치를 이용하여, 홀, 트렌치가 형성되어 있는 절연막을 갖는 성막 대상물을 이 성막 장치의 진공 챔버 내에 배치하고, 이 성막 대상물의 표면 상에서, ALD 법에 의해, Zr(BH4)4 가스로 된 원료 가스와, H2 가스로 된 반응 가스를 상기 플라즈마 생성 수단에 의해 플라즈마화하여 얻어진 가스를 반응시켜, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrB2막으로 되는 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
  2. 청구항 1에 있어서, 상기 원료 가스와 상기 반응 가스를 공급하여 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물 상에 흡착시키는 흡착 공정과, 원료 가스의 공급을 멈추고 반응 가스만 연속하여 공급하여 이 반응 가스를 상기 플라즈마 생성 수단에 의해 플라즈마화하여 플라즈마화 된 반응 가스를 성막 대상 물 상에 흡착한 원료 가스와 반응시켜 개질을 실시하는 개질 공정을 반복하여, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrB2막으로 되는 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
  3. 청구항 1에 있어서, 상기 원료 가스와 상기 플라즈마 생성 수단에 의해 플라즈마화 된 상기 반응 가스를 공급하여 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물 상에 흡착시키는 흡착 공정과, 원료 가스만 공급을 멈추고 상기 플라즈마 생성 수단에 의해 플라즈마화 된 반응 가스를 연속하여 공급하면서, 성막 대상물 상에 흡착한 원료 가스와 반응시켜 개질을 실시하는 개질 공정을 반복하여, 홀, 트렌치의 내면을 포함하여 절연막상에 ZrB2막으로 되는 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
  4. 반응 가스를 도입하기 위한 비금속 파이프의 외주 상부 및 하부에 떨어뜨려 설치된 도체를 구비하는 동축형 공진 캐비티와, 마이크로파 공급 회로로 된 플라즈마 생성 수단이며, 상기 동축형 공진 캐비티 내부의 높이가 여진 파장의 1/2의 정수배이고, 비금속 파이프의 한쪽 끝으로부터 주입된 가스가 비금속 파이프의 상기 도체를 마련하지 않은 영역에서 마이크로파에 의해 여기되어 다른 쪽 끝으로부터 플라즈마화하여 방출되도록 구성된 플라즈마 생성 수단을 구비한 성막 장치를 이용하여, 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물을 이 성막 장치의 진공 챔버 내에 배치하고, 이 성막 대상물의 표면 상에서 ALD법에 의해, Zr(BH4)4 가스로 되는 원료 가스와, H2 가스에, N2 및 NH3로부터 선택된 적어도 하나를 혼합한 반응 가스를 상기 플라즈마 생성 수단에 의해 플라즈마화하여 얻어진 가스를 반응시켜, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrBN막으로 되는 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
  5. 청구항 4에 있어서, 상기 원료 가스와 상기 반응 가스를 공급하여 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물 상에 흡착시키는 흡착 공정과, 원료 가스의 공급을 멈추고 반응 가스만 연속하여 공급하여 이 반응 가스를 상기 플라즈마 생성 수단에 의해 플라즈마화시키고, 플라즈마화 된 반응 가스를 성막 대상물 상에 흡착한 원료 가스와 반응시켜 개질을 실시하는 개질 공정을 반복하여, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrBN막으로 되는 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
  6. 청구항 4에 있어서, 상기 원료 가스와 상기 플라즈마 생성 수단에 의해 플라즈마화 된 상기 반응 가스를 공급하여, 홀, 트렌치가 형성되어 있는 절연막을 가지는 성막 대상물 상에 흡착시키는 흡착 공정과, 원료 가스만 공급을 멈추고 상기 플라즈마 생성 수단에 의해 플라즈마화 된 반응 가스를 연속하여 공급하면서, 성막 대상물 상에 흡착한 원료 가스와 반응시켜 개질을 실시하는 개질 공정을 반복하여, 홀, 트렌치의 내면을 포함하여 절연막 상에 ZrBN막으로 되는 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
  7. 청구항 2, 3, 5 및 6의 어느 한 항에 있어서, 상기 반응 가스의 유량을, 흡착 공정과 개질 공정에서, 다른 유량으로 하여 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
  8. 청구항 1 내지 7의 어느 한 항에 있어서, 상기 성막 대상물인 기판을 50~300℃로 가열하면서 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
  9. 청구항 1 내지 8의 어느 한 항에 있어서, 상기 동축형 공진 캐비티 내에 냉각 가스를 도입하여, 비금속 파이프의 도체를 마련하지 않은 영역을 냉각하면서 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
  10. 청구항 1 내지 9의 어느 한 항에 있어서, 상기 비금속 파이프의 측벽을 2중으로 하여, 이 측벽 사이에 냉각용 유체를 순환시켜 비금속 파이프를 냉각하면서 장벽막을 형성하는 것을 특징으로 하는 장벽막 형성 방법.
KR1020097009544A 2006-11-09 2007-11-08 장벽막 형성 방법 KR101064354B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2006-304485 2006-11-09
JP2006304485 2006-11-09
JPJP-P-2007-047943 2007-02-27
JP2007047943 2007-02-27
PCT/JP2007/071720 WO2008056742A1 (fr) 2006-11-09 2007-11-08 Procédé de fabrication de film barrière

Publications (2)

Publication Number Publication Date
KR20090067201A true KR20090067201A (ko) 2009-06-24
KR101064354B1 KR101064354B1 (ko) 2011-09-14

Family

ID=39364553

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097009544A KR101064354B1 (ko) 2006-11-09 2007-11-08 장벽막 형성 방법

Country Status (6)

Country Link
US (1) US8084368B2 (ko)
JP (1) JP5275038B2 (ko)
KR (1) KR101064354B1 (ko)
CN (1) CN101536154B (ko)
TW (1) TWI412080B (ko)
WO (1) WO2008056742A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101374038B1 (ko) * 2010-10-15 2014-03-12 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치 및 반도체 장치의 제조 방법

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101395973B (zh) * 2006-03-07 2013-03-13 国立大学法人琉球大学 等离子体发生装置以及使用它的等离子体产生方法
JP2008211079A (ja) * 2007-02-27 2008-09-11 Ulvac Japan Ltd バリア膜の形成方法及びバリア膜、並びに多層配線構造の作製方法及び多層配線構造
JP2008211090A (ja) * 2007-02-27 2008-09-11 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置
CN102290372A (zh) 2007-02-27 2011-12-21 株式会社爱发科 半导体器件制造方法以及半导体器件制造设备
JP5389386B2 (ja) * 2008-06-30 2014-01-15 株式会社アルバック 半導体装置の製造方法
JP2010010624A (ja) * 2008-06-30 2010-01-14 Ulvac Japan Ltd 半導体装置の製造装置及び半導体装置の製造方法
JP2010010625A (ja) * 2008-06-30 2010-01-14 Ulvac Japan Ltd 半導体装置の製造装置及び半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011086971A1 (ja) * 2010-01-12 2011-07-21 株式会社 アルバック 半導体装置の製造方法、及び成膜装置
TWI493063B (zh) * 2010-08-19 2015-07-21 Hon Hai Prec Ind Co Ltd 塗層、具有該塗層的被覆件及該被覆件的製備方法
JP5650479B2 (ja) * 2010-09-27 2015-01-07 東京エレクトロン株式会社 電極及びプラズマ処理装置
KR101657341B1 (ko) * 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10255998A (ja) 1997-03-06 1998-09-25 Toshiba Corp マイクロ波励起プラズマ処理装置
JP3615938B2 (ja) * 1998-06-22 2005-02-02 三菱重工業株式会社 プラズマ生成装置
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
TW504846B (en) * 2000-06-28 2002-10-01 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
JP4350337B2 (ja) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
KR100979575B1 (ko) * 2002-01-17 2010-09-01 썬듀 테크놀로지스 엘엘씨 원자층 침착 장치 및 이의 제조방법
US6716744B2 (en) * 2002-05-06 2004-04-06 Sharp Laboratories Of America, Inc. Ultra thin tungsten metal films used as adhesion promoter between barrier metals and copper
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2004296490A (ja) * 2003-03-25 2004-10-21 Tokyo Electron Ltd 処理装置
CN100495655C (zh) * 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
JP4265762B2 (ja) * 2003-09-08 2009-05-20 キヤノンアネルバ株式会社 プラズマ処理装置
JP4879509B2 (ja) 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
JP4480516B2 (ja) * 2004-08-23 2010-06-16 株式会社アルバック バリア膜の形成方法
KR100613450B1 (ko) * 2004-12-02 2006-08-21 주식회사 하이닉스반도체 반도체 장치의 비트라인 배리어 금속층 및 그 제조방법
JP4022590B2 (ja) * 2005-03-25 2007-12-19 株式会社エーイーティー マイクロ波プラズマ発生装置
JP4943111B2 (ja) * 2006-10-13 2012-05-30 株式会社アルバック 半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101374038B1 (ko) * 2010-10-15 2014-03-12 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치 및 반도체 장치의 제조 방법
US8679978B2 (en) 2010-10-15 2014-03-25 Tokyo Electron Limited Method for forming a film including Zr, Hf or the like, and method for manufacturing a semiconductor device using the same

Also Published As

Publication number Publication date
KR101064354B1 (ko) 2011-09-14
WO2008056742A1 (fr) 2008-05-15
TW200836265A (en) 2008-09-01
TWI412080B (zh) 2013-10-11
JPWO2008056742A1 (ja) 2010-02-25
US8084368B2 (en) 2011-12-27
CN101536154A (zh) 2009-09-16
CN101536154B (zh) 2010-08-11
US20100068891A1 (en) 2010-03-18
JP5275038B2 (ja) 2013-08-28

Similar Documents

Publication Publication Date Title
KR101064354B1 (ko) 장벽막 형성 방법
KR101125086B1 (ko) 성막장치
TWI768093B (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
US10424464B2 (en) Oxide etch selectivity systems and methods
US9373522B1 (en) Titanium nitride removal
CN112071754A (zh) 使用重整气体形成电子结构的方法、系统和形成的结构
US10465294B2 (en) Oxide and metal removal
US8334218B2 (en) Method of forming non-conformal layers
TWI650824B (zh) 電漿處理裝置及成膜方法
CN111370285B (zh) 基板处理装置和气体导入板
US10017853B2 (en) Processing method of silicon nitride film and forming method of silicon nitride film
US20140273451A1 (en) Tungsten deposition sequence
KR101181389B1 (ko) 반도체 소자의 제조 방법 및 반도체 소자의 제조 장치
TW201443992A (zh) 使用遠端電漿源之加強式蝕刻製程
US20070221129A1 (en) Apparatus for depositing atomic layer using gas separation type showerhead
JP2017228708A (ja) プラズマ成膜装置および基板載置台
EP1610369A1 (en) Plasma film-forming method and plasma film-forming apparatus
JP2008211079A (ja) バリア膜の形成方法及びバリア膜、並びに多層配線構造の作製方法及び多層配線構造
JP2008297597A (ja) シャワーヘッド
WO2009123049A1 (ja) 高ストレス薄膜の成膜方法及び半導体集積回路装置の製造方法
US20170092588A1 (en) Film forming method, semiconductor device manufacturing method, and semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140728

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150812

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160812

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170821

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180808

Year of fee payment: 8