KR20050050579A - 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법 - Google Patents

고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법 Download PDF

Info

Publication number
KR20050050579A
KR20050050579A KR1020040097222A KR20040097222A KR20050050579A KR 20050050579 A KR20050050579 A KR 20050050579A KR 1020040097222 A KR1020040097222 A KR 1020040097222A KR 20040097222 A KR20040097222 A KR 20040097222A KR 20050050579 A KR20050050579 A KR 20050050579A
Authority
KR
South Korea
Prior art keywords
group
nitrogen
compound
transition metal
reactant
Prior art date
Application number
KR1020040097222A
Other languages
English (en)
Other versions
KR100667723B1 (ko
Inventor
지빙
모티카스티븐앤드루
펄스테인로널드마틴
카르와키유진조지프주니어
우딩준
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20050050579A publication Critical patent/KR20050050579A/ko
Application granted granted Critical
Publication of KR100667723B1 publication Critical patent/KR100667723B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Abstract

본 명세서에서는 에칭 및/또는 세정 분야에 응용하기 위한, 기판으로부터 물질을 제거하는 방법에 대해 개시한다. 한 구체예로서 할로겐 함유 화합물, 붕소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 탄소 함유 화합물, 클로로실란, 히드로클로로실란 또는 오르가노클로로실란으로 이루어진 군에서 선택되는 1종 이상의 화합물을 포함하는 반응제와 유전율이 이산화규소의 유전율보다 더 큰 물질을 반응시켜 휘발성 생성물을 형성하고, 기판으로부터 휘발성 생성물을 제거함으로써 기판으로부터 상기 물질을 제거하는 과정을 통해 기판으로부터 이산화규소보다 유전율이 더 큰 물질을 제거하는 방법을 제공한다.

Description

고유전율 물질의 에칭 방법 및 고유전율 물질용 증착 챔버의 세정 방법{METHOD FOR ETCHING HIGH DIELECTRIC CONSTANT MATERIALS AND FOR CLEANING DEPOSITION CHAMBERS FOR HIGH DIELECTRIC CONSTANT MATERIALS}
본 출원은 2002년 7월 18일에 출원된 미국 특허 출원 제10/198,509호의 일부 계속 출원인 2003년 4월 10일에 출원된 미국 특허 출원 제10/410,803호의 일부 계속 출원이며, 상기 출원은 본 명세서에서 그 전체를 참고 문헌으로 포함한다.
반도체 집적 회로(IC)의 제조에 있어서, 이산화규소(SiO2), 질화규소(Si3N4) 및 산질화규소(SiON)와 같은 유전 물질은 트랜지스터 게이트용 절연체로서 널리 사용되어 왔다. 이러한 절연체를 흔히 게이트 유전체라 부른다. IC 디바이스 구조가 축소됨에 따라 게이트 유전층은 점차적으로 더 얇아지게 되었다. 게이트 유전층이 수 나노미터 이하의 두께에 다다르게 되면, 종래의 SiO2, Si3N4 및 SiON 물질은 전기적 분해가 일어나서 더이상 절연성을 발휘하지 못한다. 매우 얇은 두께(≤10 nm)에서 적절한 분해 전압을 유지하기 위해서는 고유전율 물질을 게이트 절연층으로서 사용할 수 있다. 본원에 사용된 "고유전율 물질" 또는 "고-k 물질"이란 용어는 유전 상수가 약 4.1, 즉, 이산화규소의 유전율보다 큰 물질을 의미한다. 또한, 고유전율 물질은 반도체 메모리 칩 제조용 딥 트렌치 커패시터에서 차단층으로서 사용될 수도 있다. IC 산업에서는 다수의 고유전율 물질의 사용을 실험해 왔다. 최근 가장 유망한 고유전율 물질은 금속 산화물, 예컨대 Al2O3, HfO2, ZrO2 및 이들의 혼합물 및 금속 규산염, 예컨대 HfSixOy, ZrSiO4 및 이들의 혼합물이다. 어떤 경우에는 질소를 이들 금속 산화물 및 금속 규산염 고유전율 물질에 혼입시켜서(예컨대, HfSiON 또는 AlSiON) 유전율을 향상시키고 고유전율 물질의 결정화를 억제할 수 있다. 예를 들어, HfO2와 같은 고유전율 물질의 결정화는 누전과 디바이스 고장을 많이 유발한다. 따라서 질소의 혼입은 디바이스 신뢰성을 크게 향상시킬 수 있다. 다른 예로서, 상기한 물질 중 2 이상의 라미네이트 구조체는 고유전율 층으로서 증착된다. 예를 들어, Al2O3를 적층하고 이에 이어 HfO2를 적층한 라미네이트 구조체는 딥 트렌치 커패시터에서 차단층으로서 사용되고 있다.
Al2O3, HfO2 및 ZrO2와 같은 고유전율 물질은 매우 안정하며 에칭 반응의 거의 전반응에 대해 저항성이 있어서 상기 물질들을 다른 물질의 플라즈마 에칭에서의 에칭 스탑층 및 하드 마스크층으로 사용할 수 있게 하였다. 예컨대 문헌[K.K. Shih 등, "Hafnium dioxide etch-stop layer for phase-shifting masks", J. Vac. Sci. Technol. B 11(6), pp. 2130-2131(1993); J.A. Britten 등, "Etch-stop characteristics of Sc2O3 and HfO2 films for multilayer dielectric grating applications", J. Vac. Sci. Technol. A 14(5), pp. 2973-2975(1996); J. Hong 등, "Comparision of Cl2 and F2 based chemistries for the inductively coupled plasma etching of NiMnSb thin films", J. Vac. Sci. Technol. A 17(4), pp. 1326-1330(1999); Visokay 등의 미국 특허 제5,972,722호; Moise 등의 미국 특허 제6,211,035 B1호, Moise 등의 특허 출원 공개 US2001/0055852 A1; 및 Moise 등의 EP 1,001,459 A2]을 참조할 수 있다.
이러한 고유전율 물질은 일반적으로 화학적 증착(CVD) 공정에서, 증착 챔버에서 반응하여 막을 형성하는 화학적 전구체로부터 증착된다. 어떤 경우에는 이러한 고유전율 물질은 원자층 증착(ALD)에 의해 반도체 기판(웨이퍼) 상에 증착되며, 이때 막은 제어된, 거의 단일원자층으로 증착된다. ALD를 수행하기 위한 장치 및 방법에 대해서는, 예컨대 Gadgil 등의 미국 특허 제5,879,459호, Doering 등의 미국 특허 제6,174,377 B1호, Doering 등의 미국 특허 출원 공개 US2001/0011526 A1, Doering 등의 미국 특허 제6,387,185 B2호, Doering 등의 WO 00/40772 및 Gadgil 등의 WO 00/79019 A1에 개시되어 있다. 지너스 인코포레이티드(Genus, Inc.)에 양도된 상기 특허들은 "원위치(in situ) 플라즈마 세정에 의하면 유지와 세정 사이의 시간을 상당히 늘릴 수 있다"라고 교시하고 있다[참조: 미국 특허 제6,387,185 B2호, 컬럼 7, 27-28행]. 그러나, 상기 문헌들에는 ALD 챔버의 어떠한 플라즈마 세정 방법에 대해서도 상세히 개시되어 있지 않다.
플라즈마원은 원자층 증착 공정(PE-ALD)을 향상시키는 데 이용되어 왔다. 예를 들어 Pomarede 등의 WO 02/43115 A2는 기판 표면을 제조/활성화하는 여기 반응종을 발생시켜서 후속 ALD를 촉진하는 데 플라즈마원을 사용하는 것에 대해 교시한다. Nguyen 등의 WO 02/43114 A2는 전구체의 화학적 흐름을 교번시키는 대신에 ALD 공정을 실시하는 데 펄싱(pulsing) 플라즈마를 사용하는 것에 대해 교시한다. 상기 공보들 역시 웨이퍼를 공정 처리한 후 ALD 잔류물을 세정하는 방법에 대해서는 개시하고 있지 않다.
전술한 고유전율 물질들이 우수한 게이트 절연체이긴 하지만 이들 막을 패턴 전사를 위해 건식 에칭하는 것은 매우 어렵다. 증착 공정은 기판(통상적으로 실리콘 웨이퍼) 상에 고유전율 막을 바람직하게 형성시키지만, 이들 막을 형성하는 반응은 또한 증착 챔버 내부의 다른 노출면 위에서도 비생산적으로 일어난다. 증착 잔류물의 축적은 입자 떨어짐(particle shedding), 증착 균일성의 저하 및 프로세싱 드리프트(processing drift)를 야기시킨다. 이러한 영향은 웨이퍼 결함을 초래할 수 있고, 나아가서는 디바이스의 고장을 유발할 수 있다. 따라서 모든 CVD 챔버, 특히 ALD 챔버는 주기적으로 세정하여야 한다.
고유전율 물질의 극도의 화학적 불활성으로 인해, 이들 고유전율 물질을 건식 에칭하려는 시도는 거의 없었다. J.W. Lee 등의 문헌["Electron cyclotron resonance plasma etching of oxides and SrS and ZnS-based electroluminescent materials for flat panel displays", J. Vac. Sci. Technol. A 16(3), pp. 1944-1948(1889)]에서는 각종 금속 산화물 및 황화물을 에칭하기 위한 몇 가지 화학적 반응을 보고하였다. 상기 문헌의 저자는 매우 강력한 플라즈마 조건(마이크로파원 전력: 800 W, RF 척(chuck) 바이어스 전력: 최대 450 W 및 챔버 압력: 1.5 mTorr)을 이용하였다. 이러한 공정 조건을 이용하면 결과적으로 척 바이어스 전압이 매우 높아진다(최대 535 V). 높은 척 바이어스 전압은 활발한 이온 스퍼터링 및 스퍼터 유도 에칭을 크게 향상시킬 수 있다. 저자는 다양한 물질을 에칭하기 위해 극한 플라즈마 조건 하에서 Cl2/Ar, BCl3/Ar 및 SF6/Ar 혼합물을 사용하였다. Al 2O3가 가장 낮은 에칭 속도를 나타내었다. 대부분의 실험에서 Al2O3 에칭 속도는 동일한 조건 하에서의 ZnS 에칭 속도의 20% 미만이었다. 저자는 또한 "BCl3/Ar 방전에서도 매우 유사한 경향이 관찰되었으며, 절대 속도는 Cl2/Ar의 경우보다 약 20% 더 느렸다"고 언급하였다. 상기 저자의 방법은 평판 디스플레이 디바이스의 비등방성 에칭에 사용될 수 있지만, 접지된 챔버 표면 상에서는 고전력 플라즈마 스퍼터링을 달성할 수 없다. 따라서, 상기 저자의 방법은 ALD 챔버 내의 증착 잔류물을 세정하기 위한 목적으로는 사용될 수 없다.
Williams 등의 미국 특허 제6,238,582 B1호에서는 Al2O3와 같은 박막 헤드 재료를 에칭하기 위한 반응성 이온 빔 에칭(RIBE) 방법을 교시한다. 상기 특허권자는 CHF3/Ar 플라즈마를 이온원으로 사용하였다. 시준된 반응성 이온 빔은 웨이퍼 기판 위에 충돌하여 박막 재료를 에칭한다. 이러한 시준된 이온 빔은 ALD 챔버로부터 증착 잔류물을 세정하는 데 사용될 수 없다.
Lagendijk 등의 미국 특허 제5,298,075호 및 제5,288,662호는 "산소 및 화학식 CxHxClx[여기서, x는 2, 3 또는 4임]로 표시되는 클로로히드로카본을 함유하는 캐리어 기체를 규소 또는 튜브 위로 흐르게 하면서 규소 또는 튜브를 700℃ 이상의 온도에노출시키는 과정에 의한, ... 규소의 열적 산화 또는 퍼니스 튜브의 세정 방법"을 교시하고 있다. "클로로히드로카본은 그 온도에서 쉽게, 또 완전히 산화되도록 선택된다"(요약서 참조). 규소의 SiO2로의 산화 및 산화 또는 확산 퍼니스에서의 금속 오염물(예컨대, Na 및 Fe) 게터링은 고유전율 물질을 에칭/세정하는 방법과는 완전히 다른 방법이다.
다양한 참고 문헌들이 Al2O3의 에칭 속도에 영향을 주기 위해 플라즈마에 특정 화합물을 첨가하는 것에 대해 언급한다. 문헌[W.G.M. Van Den Hoek, "The Etch Mechanism for Al2O3 in Fluorine and Chlorine Based RF Dry Etch Plasmas". Met. Res. Soc. Symp. Proc. Vol. 68(1986), pp. 71-78 및 Heiman 등, "High Rate Reactive Ion Etching of Al2O3 and Si", J. Vac. Sci. Tech., 17(3), May/June 1980, pp. 731-34]은 불소계 기체 또는 염소계 기체를 각각 Ar 플라즈마에 첨가하여 Al2O3의 에칭 속도를 증가시키는 것에 대해 개시한다. 그러나, 이들 연구는 모두 반응성 이온 에칭(RIE) 조건 하에서 수행되었다. 이온 충돌/스퍼터 유도 반응이 화학적 에칭 반응보다 더 큰 역할을 한다. 다른 선행 기술과 마찬가지로, 이러한 극한 RIE 조건은 접지된 챔버 표면을 세정하는 데 적용되지 않는다.
고유전율 잔류물의 제거 방법에 대해 개시하는 기술이 부족하기 때문에, ALD 반응기는 통상 챔버 및 하류 장치(예컨대, 펌프 헤더 및 배기 다기관)의 내면으로부터 증착 잔류물을 기계적 수단(스크러빙; scrubbing 또는 블라스팅; blasting)으로 세정하였다. 그러나, 기계적 세정 방법은 시간 소모적이고, 노동력 집약적이며, 세정 표면에 손상을 준다.
불소 함유 플라즈마계 방법(즉, 건식 세정)은 화학적 증착(CVD) 반응기의 내면으로부터 규소 화합물(예컨대, 다결정형 규소, SiO2, SiON 및 Si3N4) 및 텅스텐으로 이루어진 잔류물을 제거하는 데 통상적으로 이용되고 있다. 여기서 불소는 전술한 잔류물과 반응하여 휘발성 화학종인 SiF4 또는 WF6를 생성하며, 이 화학종은 세정 과정 중에 반응기 밖으로 분출시킬 수 있다. 그러나, 불소계 화학 반응만으로 전술한 고유전율 물질을 제거하는 것은 효과적이지 않다. 예컨대 문헌[J. Hong 등, J. Vac. Sci. Technol. A, Vol. 17, pp. 1326-1330, 1999]에서는 Al2O3 코팅된 웨이퍼를 NF3/Ar계 유도 결합 플라즈마에 노출시켰으며, "높은 전원에서 유용한 원자 F의 농도가 더 높을수록 불화된 표면이 더 두꺼워져서, 에칭보다는 순 증착을 유도한다"는 것을 발견하였다. 고유전율 물질의 경우, 형성되는 금속 불화물 생성물은 비휘발성이며, 따라서 반응기로부터 제거하기가 어렵다.
따라서, 챔버를 배기/개방하지 않고, 고유전율 물질 잔류물, 예컨대 Al2O3, HfO2, ZrO2, HfSixOy, ZrSixOy 및 이들의 혼합물, HfO2 및 Al2O3(HfAlO로도 불림)와 같은 고유전율 물질을 포함하는 라미네이트의 잔류물 및 질소 함유 고유전율 물질(예, HfON, AlON 및 HfON과 AlON(HfAlON) 사이에 적층된 물질)로부터의 잔류물을 ALD 챔버로부터 화학적으로 건식 세정하는 방법이 시급히 요구되는 실정이다. 효과적인 화학적 건식 세정법은 ALD계 증착 공정의 생산성을 크게 증가시키고 소유 비용(cost-of-ownership; CoO)을 낮출 것이다.
본원에서 인용하는 모든 참고 문헌은 그 전체를 참고 문헌으로 포함한다.
본원에서는 기판으로부터 물질을 제거하기 위한 방법 및 이를 달성하기 위한 혼합물에 대해 개시한다. 한 양태에서, 본 발명은 반응기 표면으로부터 물질을 세정하는 방법을 제공하는데, 이 방법은 반응기 표면을 포함하는 반응기를 제공하는 단계로서, (a) 반응기 표면은 적어도 부분적으로 물질의 막으로 코팅되어 있고; (b) 상기 물질은 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염으로 이루어진 군에서 선택되는 1종 이상의 화합물, 또는 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염, 질소 함유 13족 금속 산화물 또는 질소 함유 13족 금속 규산염으로 이루어진 군에서 선택되는 1 이상의 층을 포함하는 라미네이트이며; (c) 상기 물질은 유전율이 이산화규소의 유전율보다 더 큰 것인 단계; 상기 물질과 반응제를 반응시켜 휘발성 생성물을 형성하는 단계로서, 상기 반응제는 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 화합물을 포함하는 것인 단계; 및 반응기로부터 휘발성 생성물을 제거함으로써 반응기 표면으로부터 상기 물질을 제거하는 단계를 포함한다.
또다른 양태에서, 본 발명은 반응 챔버의 표면으로터 물질을 제거하는 방법을 제공하는데, 이 방법은 표면의 적어도 일부분이 적어도 부분적으로 물질로 코팅되어 있는 반응 챔버를 제공하는 단계로서, 상기 물질은 유전율이 4.1 이상이며, 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염으로 이루어진 군에서 선택되는 1종 이상의 화합물, 또는 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염으로 이루어진 군에서 선택되는 1 이상의 층을 포함하는 라미네이트인 단계; 반응제를 상기 반응 챔버로 도입하는 단계로서, 상기 반응제는 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 화합물을 포함하는 것인 단계; 상기 물질과 상기 반응제를 반응시켜 휘발성 생성물을 형성하기에 충분한 1 이상의 에너지원에 상기 반응제를 노출시키는 단계; 및 반응 챔버로부터 휘발성 생성물을 제거하는 단계를 포함한다.
본 발명은 또다른 양태에서, 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 반응제; 및 상부에 1종 이상의 반응제가 증착되어 있는 비반응성 지지체를 포함하는, 반응기의 하나 이상의 표면으로부터 물질을 제거하기 위한 장치를 제공한다.
본 발명은 또다른 양태에서, 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 반응제; 및 불활성 희석제를 포함하는, 반응기의 하나 이상의 표면으로부터 물질을 제거하는 데 사용되는 혼합물을 제공한다.
본 발명은 또다른 양태에서, 기판의 하나 이상의 표면으로부터 물질을 제거하는 방법을 제공하는데, 이 방법은 전이 금속 산화물, 전이 금속 규산염, Al2O3 외의 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염으로 이루어진 군에서 선택되는 1종 이상의 화합물, 또는 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물 또는 질소 함유 전이 금속 규산염으로 이루어진 군에서 선택되는 1 이상의 층을 포함하는 라미네이트인 물질의 막으로 적어도 부분적으로 코팅되어 있는 기판을 제공하는 단계로서, 상기 물질은 유전율이 이산화규소의 유전율보다 더 큰 것인 단계; 상기 물질과 반응제를 반응시켜 휘발성 생성물을 형성하는 단계로서, 상기 반응제는 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 화합물을 포함하는 것인 단계; 및 기판으로부터 휘발성 생성물을 제거함으로써 기판으로부터 상기 물질을 제거하는 단계를 포함한다.
본 발명의 또다른 양태에서, 반응기 표면을 포함하는 반응기를 제공하는 단계로서, (a) 반응기 표면은 적어도 부분적으로 물질의 필름으로 코팅되어 있으며, (b) 상기 물질은 규산염; 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염, 또는 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염, 질소 함유 13족 금속 산화물 또는 질소 함유 13족 금속 규산염으로 이루어진 군에서 선택되는 1 이상의 층을 포함하는 라미네이트이고; (c) 상기 물질의 유전율은 이산화규소의 유전율보다 큰 유전율을 큰 것인 단계; 1종 이상의 불소 함유 화합물과, 염소 함유 화합물, 브롬 함유 화합물 또는 요오드 함유 화합물 중에서 선택되는 1종 이상의 화합물을 포함하는 반응제를 상기 물질과 반응시키는 단계로서, 상기 불소 함유 화합물은 반응제 양의 50 부피% 미만인 단계; 및 반응기로부터 휘발성 물질을 제거함으로써 반응기 표면으로부터 상기 물질을 제거하는 단계를 포함하는, 반응기 표면으로부터 물질을 세정하는 방법을 제공한다.
본 발명의 상기 양태 및 다른 양태는 후술하는 상세한 설명을 통해 명백하게 이해될 것이다.
본 발명의 방법은 고유전율 물질을 건식 에칭하고 웨이퍼 표면 상에 고유전율 물질을 증착시키는 데 사용된 화학적 증착(CVD) 챔버(보다 구체적으로는 ALD 챔버)를 건식 세정하는 데 유용하다. 에칭 또는 세정된 표면으로부터 제거해야 할 물질은 고형 비휘발성 물질을 표면 위에 증착된 고유전율 물질보다 휘발성이 더 큰 화학종으로 전환시키고, 그 후에 예를 들어 반응기 진공 펌프에 의해 제거할 수 있다. 따라서 본 발명은 물질을 휘발시키기 위하여 1종 이상의 반응제를 사용하여 기판으로부터 물질을 제거한다. 습식 에칭 및 습식 세정 방법과는 달리, 건식 에칭 및 건식 세정 방법은 기판을 액상 화학 용액에 침지시키거나 이 용액에 노출시키지 않는다.
특정 구체예에서 제거해야 할 물질은 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물 또는 13족 금속 규산염(유기 화합물 IUPAC 명명법 권장 목록 1990에 따름, 13족 금속은 Al, Ga, In 및 TI를 포함하고, 전이 금속은 3∼12족을 구성한다)이 될 수 있다. 상기 물질은 유전율이 이산화규소의 유전율보다 큰(즉, 약 4.1 이상) 고유전율 물질, 또는 유전율이 5 이상, 또는 유전율이 7 이상인 고유전율 물질일 수 있다. 바람직하게는 상기 물질은 Al2O3, HfO2, ZrO2 , HfSixOy, ZrSixOy 및 이들의 혼합물로 이루어진 군에서 선택되는 1종 이상의 화합물이다. 당업자라면 화학식 HfSixOy(및 화학식 ZrSixOy)[여기서, x는 0보다 크고, y는 2x + 2임]는 HfO2(ZrO2)와 SiO2의 혼합물을 나타낸다는 것을 알 것이다.
본 발명의 다른 구체예에서 물질은 하기 물질의 군으로부터 선택된 1종 이상의 화합물로 이루어진 층을 포함하는 라미네이트일 수 있다: 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염, 질소 함유 13족 금속 산화물 또는 질소 함유 13족 금속 규산염. 라미네이트는 바람직하게는 상기 물질 중 하나 이상과, 선택적으로 다른 물질, 예컨대 절연 물질의 교번층이다. 예를 들어, 라미네이트는 HfO2와 Al2O3의 교번층으로 이루어질 수 있다. 라미네이트는 또한 제1 물질로 이루어진 일정한 수의 층과 제2 물질로 이루어진 일정한 수의 층, 또는 1 이상의 제1 물질로 이루어진 외부 층과 1 이상의 제2 물질로 이루어진 내부층으로 구성될 수 있다.
본 발명의 또다른 구체예에서, 물질은 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염, 질소 함유 13족 금속 산화물 또는 질소 함유 13족 금속 규산염과 같은 질소 함유 물질일 수 있다. 이러한 유형의 물질의 한 예로는 HfAlON을 들 수 있다.
전술한 바와 같이, 제거해야 할 물질을 반응제와 반응시켜 휘발성 생성물을 형성하며, 이 휘발성 생성물은 기판으로부터 쉽게 제거할 수 있다. 바람직한 특정 구체예에서, 반응제는 활성종, 예컨대 이온, 라디칼, 여기 중성자 등을 형성하기에 충분한 1 이상의 에너지원에 노출시킬 수 있으며, 이들 활성종은 물질과 반응하여 휘발성 생성물을 형성한다. 적합한 반응제의 예로는 할로겐 함유 화합물, 예컨대 염화물, 브롬화물, 또는 요오드화물 화합물; 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물, 오르가노클로로실란 화합물, 또는 이들의 혼합물을 들 수 있다. 본원에 사용된 반응제가 때때로 "가스상"으로서 기재된다 할지라도, 화학적 반응제는 기체 형태로 반응기에 직접 전달될 수도 있고, 기화된 액체, 승화된 고체로서 전달될 수 있고/있거나 불활성 희석 기체에 의해 반응기에 수송될 수도 있다.
반응제는 다양한 수단, 예를 들어 통상적인 실린더, 안전 전달 시스템, 진공 전달 시스템, 소요지에서 반응제를 발생시키는 고체 또는 액체계 발생기에 의해 반응 챔버로 전달될 수 있다. 본 발명의 한 구체예에서, 1종 이상의 반응제는 비반응성 액체 또는 기체상 희석제에 첨가하여, 제거해야 할 물질을 포함하는 기판 위에 스프레이 또는 기타 수단을 사용하여 적용할 수 있다. 반응제는 1 이상의 에너지원에 노출되면 물질과 반응하여 휘발성 생성물을 형성할 수 있다. 챔버 세정 분야와 같은 다른 구체예에서는, 반응 챔버로 도입될 수 있는 비반응성 지지체 상에 반응제(들)를 증착시킬 수 있다. 비반응성 지지체의 재료는 에너지원 중 하나에 노출시키기 전 또는 노출시키는 중에 반응제와 반응하지 않는 것이다. 바람직한 특정 구체예에서, 비반응성 지지체는 다수의 소공을 갖는다. 반응제(들)는 1 이상의 에너지원에 노출되면 방출되어 제거해야 할 물질과 반응하여 휘발성 생성물을 형성할 수 있다.
이러한 금속의 염화물(예컨대, AlCl3, HfCl4, ZrCl4 및 SiCl4)은 휘발성이 더 크기 때문에 이러한 고유전율 물질을 염화물로 전환시키는 것이 바람직하다. 이러한 전환은 제거해야 할 물질과 염소 함유 반응제를 접촉시켜서 수행한다. 염소 함유 반응제의 바람직한 예로는 BCl3, COCl2, HCl, Cl2, ClF3 및 NFxCl3-x[여기서, x는 0∼2임], 클로로카본, 클로로히드로카본[예, CxHyClz, 여기서 x는 1∼6의 수이고, y는 0∼13의 수이고, z는 1∼14의 수임]을 들 수 있다. 특정 구체예에서, 산소 게터 기능 역시 보유하는 염소 함유 반응제, 예컨대 BCl3, COCl2, 클로로카본 및 클로로히드로카본은, 이들 분자 내의 산소 게터 성분(B, CO, C, 또는 H)이 고유전율 물질로부터 산소를 추출하고, 이에 따라 금속 산화물과 금속 규산염에서 금속 염화물로의 전환을 증강시키기 때문에 적합할 수 있다. 염소 함유 기체 및 산소 게터 기체 중에서 BCl3가 가장 바람직한 것이다. COCl2를 반응제로서 이용하는 구체예에서 COCl2는 제조된 형태로 제공될 수도 있고, 또는 CO와 Cl2의 동일계 반응(in situ reaction)에 의해 형성될 수도 있다. 특정 구체예에서, 반응제는 염소 함유 기체 및 불소 함유 기체(예컨대, BCl3 및 BF3), 또는 불소와 염소를 둘 다 함유하는 기체, 예컨대 ClF3 및 NFzCl3-z[여기서, z는 0∼2의 정수임]를 포함할 수 있다.
또다른 구체예에서, 1종 이상의 염소 함유 반응제는 1종 이상의 다른 할로겐 함유 화합물, 예를 들어 1종 이상의 불소 함유 반응제와 병용하여 고유전율 물질의 에칭 및 제거를 촉진할 수 있다. HfF4 및 ZrF4와 같은 전이 금속의 불화물은 대응하는 염화물보다 휘발성이 적긴 하지만, 염소 함유 반응제에 1종 이상의 불소 함유 반응제를 첨가하는 것이 고유전율 전이 금속 산화물의 에칭 속도를 증강시킬 수 있다는 것은 놀랍고도 예기치 못했던 것이다. 이러한 구체예에서, 기체상 혼합물은 50 부피% 미만의 1종 이상의 불소 함유 반응제; 1종 이상의 염소 함유 반응제 및 선택적으로 불활성 희석 기체를 포함할 수 있다. 대표적인 기체 혼합물은 1종 이상의 불소 함유 화합물 약 1∼50 부피% 및 1종 이상의 염소 함유 화합물 약 50∼약 99 부피%를 포함할 수 있다. 불소 함유 반응제(들)는 반응기에 도입하기 전 또는 후에 염소 함유 반응제(들)와 조합하여 혼합물을 제공할 수 있다. 적합한 불소 함유 반응제의 예로는 NF3(삼불화질소), ClF3(삼불화염소), ClF(불화염소), SF6 (육불화황), 퍼플루오로카본, 예컨대 CF4 및 C2F6 등, 히드로플루오로카본, 예컨대 CHF3 및 C3F7H 등, 옥시플루오로카본, 예컨대 C4F8O(퍼플루오로테트라히드로푸란) 등, 하이포플루오라이트, 예컨대 CF3-OF(플루오로옥시트리플루오로메탄(FTM)) 및 FO-CF2-OF(비스-디플루오로옥시-디플루오로메탄(BDM)) 등, 플루오로퍼옥시드, 예컨대 CF3-O-O-CF3, F-O-O-F 등, 플루오로트리옥시드, 예컨대 CF3-O-O-O-CF3 등, COF 2(카르보닐 플루오라이드), NOF, NFxCl3-x[여기서, x는 1∼2의 정수임] 및 F2 등을 들 수 있다. 대표적인 기체상 혼합물의 비제한적인 예로는 BCl3 및 NF3; BCl3 및 F2 ; BCl3 및 ClF3; BCl3 및 NFxCl3-x[여기서, x는 1∼2임]를 들 수 있다. 다른 Cl-, Br- 또는 I-함유 화합물, 예를 들어 본원에서 개시하는 임의의 화합물 역시 F 함유 화합물과의 이와 같은 상승 효과를 나타낼 수 있다.
본원에 개시한 반응제 외에도 불활성 희석 기체, 예컨대 질소, CO, 헬륨, 네온, 아르곤, 크립톤 및 크세논 등을 첨가할 수도 있다. 불활성 희석 기체는 플라즈마 특성 및 세정 과정을 몇몇 특수한 용도에 더 잘 부합되도록 변화시킬 수 있다. 불활성 기체의 농도는 0∼99%일 수 있다.
본 발명의 방법은 기판의 표면으로부터 물질을 에칭하는 데 유용하다. 따라서, 본 발명의 에칭예에 적합한 기판으로는, 예컨대 반도체 웨이퍼 등을 들 수 있다. 도 3은 반응제로서 BCl3를 사용하는 본 발명의 한 구체예에 대하여 산화하프늄, 산화알루미늄 및 산화지르코늄의 상대적 에칭 속도를 비교한 결과를 도시한다.
본 발명은 또한 CVD 및/또는 ALD 공정용 반응 챔버의 표면과 같은 기판으로부터 물질을 세정하기에 적합할 수 있다. 본 발명은 반응 챔버의 노출된 면, 예를 들어 통상적인 반응 챔버의 워크피스 플랫폼, 접지된 측벽 및/또는 샤워헤드 위에 증착된 고유전율 물질을 제거하는 데 특히 적합하다.
1종 이상의 반응제는 물질 및/또는 붕소 함유 부산물과 적어도 부분적으로 반응하여 휘발성 화학종을 형성하도록 활성종을 생성하기에 충분한 1 이상의 에너지원에 노출시킨다. 노출 단계를 위한 에너지원은 비제한적으로 α-입자, β-입자, ν-선, x-선, 고에너지 전자, 전자빔 에너지원; 자외선(10∼400 nm 범위의 파장), 가시광선(400∼750 nm 범위의 파장), 적외선(750∼105 nm 범위의 파장), 마이크로파(주파수 > 109 Hz), 라디오파(주파수 > 106 Hz) 에너지; 열; RF, DC, 아크 또는 코로나 방전; 음파, 초음파 또는 메가소닉 에너지 및 이들의 조합을 포함한다.
특정 구체예에서, 열적 또는 플라즈마 활성화 및/또는 향상은 고유전율 물질의 건식 에칭 및 건식 세정의 효율에 중대한 영향을 미칠 수 있다. 열적 활성화를 위해서는, 경우 기판을 최대 600℃, 보다 바람직하게는 최대 400℃, 더욱 더 바람직하게는 최대 300℃까지 가열할 수 있다. 압력 범위는 일반적으로 10 mTorr∼760 Torr, 또는 1 Torr∼760 Torr이다.
또다른 구체예에서, 반응제(들)는 제거해야 할 물질을 함유하는 반응기와 동일계에서 또는 반응기 내에서 활성화시킨다. 동일계 플라즈마 활성화의 경우, 13.56 MHz RF 전력 공급 장치를 사용하여 RF 전력 밀도 0.2 W/cm2 이상, 또는 0.5 W/cm2 이상, 또는 1 W/cm2 이상으로 플라즈마를 발생시킬 수 있다. 13.56 MHz보다 낮은 RF 주파수에서 동일계 플라즈마를 작동시켜서 접지된 ALD 챔버 벽의 이온 보조 세정을 향상시킬 수 있다. 작동 압력은 일반적으로 2.5 mTorr∼100 Torr, 또는 5 mTorr∼50 Torr, 또는 10 mTorr∼20 Torr이다. 선택적으로 열적 향상과 플라즈마 향상을 조합하여 ALD 챔버 벽을 더욱 효과적으로 세정할 수 있다.
반응성이 더 큰 화학종을 발생시키기 위해 동일계 플라즈마원 대신에 원격 플라즈마원을 이용할 수도 있다. 원격 플라즈마원은 RF 또는 마이크로파원에 의해 발생될 수 있다. 또한, 원격 플라즈마에 의해 발생된 반응성 화학종과 고유전율 물질간의 반응을 ALD 반응기 구성요소를 최대 600℃, 또는 최대 400℃, 또는 최대 300℃의 고온으로 가열하여 활성화/증강시킬 수 있다.
세정 과정을 활성화 및 향상시키기 위한 다른 수단을 이용할 수도 있다. 예를 들어, 반응성 화학종을 발생시키고 에칭/세정 반응을 향상시키기 위해 광자 유도 화학 반응을 이용할 수 있다.
하기 표는 기판으로부터 제거해야 할 물질을 휘발시키기 위한 다양한 반응에 대한 열화학적 계산값을 제시한다. 이들 표에서 Keq는 해당 반응에 대한 평형 상수를 나타내며, 이 값이 더 클수록 그 반응은 더욱 유리하게 진행된다.
표 1∼7은 고유전율 물질의 건식 에칭 및 세정용 에칭제로서 BCl3 및 COCl2를 사용할 수 있음을 보여준다. BCl3(삼염화붕소)는 실온에서 액화 기체이며 챔버 세정용 ALD 반응기로 쉽게 전달될 수 있다. COCl2(포스겐)는 외부 에너지원(예컨대, 플라즈마)의 도움으로 기체상 일산화탄소와 염소를 하기 반응식과 같이 반응시켜서 포스겐을 형성함으로써 에칭 또는 증착 반응기 내 동일계에 제공되는 것이 바람직하다.
붕소 잔류물에 민감한 용도 등의 본 발명의 다른 구체예에서는, 클로로카본(CC) 및 히드로클로로카본(HCC)을 반응제로 이용할 수 있는데, 왜냐하면 이들 화합물은 산소 게터 성분(C 또는 H)뿐만 아니라 염소를 함유할 수 있기 때문이다. CC 및 HCC 화합물에 대한 화학식은 CxHyClz[여기서, x는 1∼6이고, y는 0∼13이며, z는 1∼14임]이다. 적합한 CC 및 HCC 화합물의 예로는 트랜스-디클로로에틸렌 C2H2Cl2(a.k.a. Trans-LC), 시스-디클로로에틸렌, 1,1-디클로로에틸렌, 1,1,1-트리클로로에탄(C2H3Cl3), 또는 테트라클로로에틸렌 C2Cl4 , C4H4Cl4, CHCl3 및 CCl4를 들 수 있으나, 이에 국한되는 것은 아니다. 몇몇 CC 및 HCC 화합물은 산소를 첨가하지 않아도 고유전율 금속 산화물과 반응할 수 있다. 예를 들어, 몇몇 구체예에서 테트라클로로에틸렌(C2Cl4)은 Al2O3와 하기 반응식과 같이 반응하여 휘발성 부산물을 형성할 수 있다.
표 8은 이 반응이 100℃ 이상의 온도에서 열역학적으로 유리하다는 것을 보여준다.
상기 열화학적 계산값은 상기 화학 반응들에 대한 제한적 케이스를 예시한 것이다. B2O3와 같은 제한적 케이스의 반응 생성물 외에도, 고유전율 물질과 BCl3 와의 반응에서 보론 옥시클로라이드(BOCl)와 같은 중간 반응 생성물이 형성될 수도 있다. BOCl과 같은 중간 반응 생성물은 휘발성이 더 높아서 고유전율 물질의 제거를 더욱 향상시킬 수 있다.
다른 CC 및 HCC 화합물은 탄소 잔류물(그을음)을 형성하지 않으면서 염소를 방출하도록 산소의 첨가를 요할 수 있다. 예를 들어, 트랜스-디클로로에틸렌(C2H2Cl2)(a.k.a. Trans-LC)은 Al2O3와 2:1 몰비의 O2:C2H2Cl2로 하기 반응식과 같이 반응할 수 있다.
표 9는 이러한 반응이 0∼1000℃의 온도에서 열역학적으로 유리하다는 것을 보여준다.
과량은 O2는 금속 염화물을 다시 금속 산화물로 전환시킬 수 있으므로 상기 반응에서 과량의 산소는 바람직하지 않다. 과량의 산소를 방지하기 위한 더 좋은 방법은, 산소가 희박한 조건 하에서 반응을 진행시킴으로써 탄소를 부분적으로만 CO로 산화시키는 것이다. 예를 들어, 1:1 몰비의 O2:C2H2Cl2는 하기 반응식과 같이 부산물로서 CO 및 AlCl3를 형성할 수 있다.
표 10에 제시한 바와 같이, 이러한 부분적 산화 반응 역시 열역학적으로 유리한 반응이다.
산소 대신에 염소(Cl2)를 첨가하여 탄소 그을음이 형성되는 것을 막을 수 있다. 예를 들어 2:1 몰비의 Cl2:C2H2Cl2는 하기 반응을 유도한다.
유사하게, 4:1 몰비의 Cl2:C2H2Cl2는 하기 반응을 유도한다.
표 11 및 12에 기재된 바와 같이 두 반응 모두 열역학적으로 유리하다. 그을음의 형성을 억제하기 위해 염소를 사용하는 것은 과량의 염소가 금속 산화물의 염소화를 촉진하기 때문에 더 바람직하다.
염화물 외에도 이들 고유전율 물질의 브롬화물 및 요오드화물, 예컨대 AlBr3, AlI3, HfBr4, HfI4, ZrBr4 및 ZrI4 는 이들의 대응하는 염화물과 유사한 휘발성을 갖는다. 따라서 일부 브로모- 및 요오도-화합물 역시 이들 고유전율 물질의 에칭/세정에 사용될 수 있다. 브롬 및 요오드 이온은 염소 이온보다 더 무겁기 때문에 브롬 및 요오드 이온은 고유전율 물질과의 플라즈마 보조 에칭/세정 반응을 더 활발하게 하기 위한 보다 효과적인 스퍼터링을 제공할 수 있다. 브롬 및 요오드 원자는 염소 원자보다 더 큰 표면 점착률을 갖는다. 점착률이 더 클수록 브롬 및 요도드 원자/이온이 고유전율 물질의 표면에 흡착되어 브롬화/요오드화 반응을 증강시킬 수 있는 가능성이 더 크다. 바람직한 브로모- 및 요오도-화합물은 분자 내에서 산소-게터 기능을 보유할 수 있다. 적합한 브롬 및 요오드 함유 화합물의 예로는 삼브롬화붕소(BBr3), 삼요오드화붕소(BI3), 브롬화수소(HBr), 요오드화수소(HI), 브로모카본, 예컨대 CBr4, 브로모히드로카본, 예컨대 트랜스-디브로모에틸렌(C2H2Br2), 요오도카본, 예컨대 CI4 및 요오도히드로카본, 예컨대 트랜스-디요오도에틸렌(C2H2I2) 등을 들 수 있다. HfO2의 경우, 표 13∼15에 기재된 바와 같이 브롬 및 요도드 화학 반응은 대응하는 염소 화학 반응보다 현저히 더 유리한 반응이다.
마찬가지로, 표 16∼18에 기재된 바와 같이 Al2O3 및 ZrO2와의 반응에 대해 브롬 및 요오드 화학 반응은 역시 열역학적으로 유리한 반응이다.
특정 구체예에서 반응제는 킬레이트화 화합물을 포함할 수 있다. 본원에서 사용되는 킬레이트화 화합물은 전자 부족(예컨대, 루이스 산) 금속 원자, 예컨대 Zr, Al, 또는 Hf 등과 상호작용할 가능성이 있는 2 이상의 전자 풍부(예컨대, 루이스 염기) 부위를 포함하는 화합물을 말한다. 그러나 다수의 부위가 금속과 동시에 순서대로 상호작용할 필요는 없다. 또한, 킬레이트화 화합물은 염기성 부위의 짝산의 형태로 반응 챔버로 전달될 수 있다. 이러한 화합물의 예는 미국 특허 제3,634,477호에서 찾아볼 수 있다. 킬레이트화 화합물의 또다른 예로는 옥시-할로카본 화합물, 예컨대 클로로아세트산, 옥살릴 클로라이드 등이 있으며, 이것들은 금속 산화물 및 금속 염화물과 반응하여 휘발성 부산물을 형성할 수 있는 킬레이트화 화합물 또는 킬레이트제로서 알려져 있다. 몇몇 대표적인 킬레이트화 화합물은 화학식 CαHβXνYδOε로 표시된다. 상기 식에서 X 및 Y는 할로겐 원자 F, Cl, Br 및 I 중 하나이며, α는 1∼6의 수이고, β는 0∼13의 수이고, γ+ δ의 합은 1∼14의 수이고, ε는 1∼6의 수이다. 이러한 화합물의 예로는 헥사플루오로펜탄디온 (CCl3C(O)CH2C(O)CCl3)(a.k.a Hhfac), 헥사클로로펜탄디온(CCl3C(O)CH 2C(O)CCl3), 헥사플루오로아세톤(CF3C(O)CF3) 및 헥사클로로아세톤(CCl3C(O)CCl3 )을 들 수 있다. 예를 들어, 헥사플루오로펜탄디온(a.k.a Hhfac)(CF3C(O)CH2C(O)CF3, 또는 C5H2O2F6)은 다양한 금속 산화물 및/또는 염화물과 반응하여 휘발성 유기-금속 화합물 M(hfac)x[여기서, M은 금속 이온, 예컨대 Al3+, Hf4+ 및 Zr4+ 등임]을 형성할 수 있는 일반적인 킬레이트제이다. 이러한 킬레이트화 성질은 고유전율 물질의 에칭 및 챔버 세정을 향상시키는 데 이용될 수 있다. 또한, 이러한 분자들은 고유전율 물질의 염소화를 향상시키기 위한 산소 스캐빈저로서 사용될 수 있다. 반응의 예는 다음과 같다.
본 발명의 특정 구체예에서, Hhfac의 염소 유사체인 헥사클로로펜탄디온(CCl3C(O)CH2C(O)CCl3)이 반응제로서 더 유익할 수 있는데, 그 이유는 산소 스캐빈저와 염소화제 둘 다로 작용할 수 있기 때문이다. 이러한 반응역시 열적 및/또는 플라즈마 활성화에 의해 촉진될 수 있다. 반응의 예는 다음과 같다.
금속 염화물의 산화를 방지하기 위해 다음과 같이 염소를 산소 대신에 사용할 수 있다.
특정 구체예에서, 클로로실란, 히드로클로로실란 및 오르가노클로로실란 역시 고유전율 물질을 에칭/세정하기 위한 효과적인 작용제가 될 수 있다. 부분적으로 매우 안정한 SiO2 부산물로 인해 이들 화합물은 매우 효과적인 산소 스캐빈저 및 염소화제가 될 수 있다. 이들 화합물은 열원 또는 플라즈마원에 노출되면 잠재적인 붕소 잔류물 오염 문제 없이 BCl3만큼 효과적으로 고유전율 물질을 휘발성 염화물로 전환시킬 수 있다. 특정 구체예에서, 클로로실란, 히드로클로로실란 또는 오르가노클로로실란 화합물은 화학식 SipClqRsHt[여기서, 1 ≤p ≤3, 1 ≤q ≤{2p+2-(s+t)}이고, s 및 t는 0 ≤(s+t) ≤(2p+1)인 조건으로 임의의 값이 될 수 있으며, R은 1∼8개의 탄소 원자를 갖는 유기 라디칼로서, 여기에는 히드로카르빌(예컨대, 메틸, 에틸, 페닐, p-톨릴), 할로카르빌(예컨대, 트리클로로메틸, 트리플루오로메틸, 펜타플루오로에틸), 할로겐화 히드로카르빌(예컨대, 클로로메틸, 2,4-디플루오로페닐), 산소화 히드로카르빌(예컨대, 메톡시, 히드록시에틸, 클로로메톡시) 및 질소 치환 히드로카르빌부(예컨대, 아미노메틸, 디메틸아미노메틸, 피리딜)이 포함된다]로 표시된다. 대표적인 반응은 다음을 포함한다.
표 19∼23에 기재된 바와 같이, 열역학적 계산값은 상기 반응이 실온 또는 약간 고온에서 유리한 반응임을 나타낸다.
그 밖에도, GeCl4와 같은 다른 염화물 및 관련 화합물 역시 유사한 방식으로 고유전율 물질을 에칭/세정하는 데 이용될 수 있다. 하프늄 및 지르코늄계 고유전율 물질을 에칭/세정할 때 AlCl3를 반응물에 첨가하여 HfO2, ZrO2, HfSi xOy 및 ZrSixOy 등의 염소화를 향상시킬 수 있다. 이것은 AlCl3가 산소 스캐빈저로서 작용하여 HfO2 및 ZrO2 등의 염소화를 촉진하는 한편, Al2O3보다 휘발성이 더 큰 AlOCl과 같은 알루미늄 옥시클로라이드를 형성할 수 있기 때문이다.
화학 반응은 열역학적으로 유리해야 할 뿐 아니라 종종 활성화 에너지 장벽을 극복하여 반응이 진행될 수 있도록 하는 외부 에너지원을 필요로 한다. 외부 에너지원은, 예컨대 열적 가열 또는 플라즈마 활성화가 될 수 있다. 더 높은 온도는 화학 반응을 촉진하여 반응 부산물의 휘발성이 더 커지도록 할 수 있다. 그러나, 증착 챔버를 제조하는 데 있어서 온도에 대한 실제적 제약이 있을 수 있다. 플라즈마는 반응성이 더 큰 화학종을 발생시켜서 반응을 촉진할 수 있다. 플라즈마 내의 이온은 플라즈마 쉬스(sheath) 내의 전기장에 의해 가속화되어 에너지를 얻게 된다. 에너지가 충만한 이온이 표면에 충돌하게 되면 반응 활성화 에너지 장벽을 극복하는 데 필요한 에너지를 제공할 수 있다. 이온 충돌 역시 반응 부산물을 휘발시켜서 제거하는 데 도움이 된다. 이러한 것들은 플라즈마 에칭/세정 및 반응성 이온 에칭에 있어서 공통적인 메카니즘이다. 선택적으로, 고유전율 물질의 건식 에칭/세정을 위한 원하는 반응을 증강시키기 위하여 열적 활성화 및 플라즈마 활성화 메카니즘 둘 다를 병용할 수 있다. 동일계 플라즈마 세정의 대안으로서, 증착 챔버로부터 고유전율 물질 잔류물을 세정하기 위해 반응성이 더 큰 화학종을 발생시키기 위하여 원격 플라즈마원을 이용할 수 있다. 또한, CVD 또는 ALD 반응기 구성요소를 최대 600℃, 또는 최대 400℃, 또는 최대 300℃의 고온으로 가열함으로써 원격 플라즈마에 의해 발생된 반응성 화학종과 고유전율 물질과의 반응을 활성화 및/또는 증강시킬 수 있다.
도 1a 및 1b는 각각 내부 에너지원(예컨대, 동일계 플라즈마원 또는 열원) 또는 외부 에너지원을 이용하여 챔버 세정을 수행하는 데 적합한 장치(10)를 도시한 것이다. 도 1a에서는 반응제(20)(즉, BCl3)(도 1a에서 실선의 화살표로 표시함)를 제거해야 할 물질(40) 또는 도시된 HfO2와 같은 고유전율 잔류물을 보유하는 기판(30)(즉, 반응 챔버)에 주입한다. 도 1a에 도시된 바와 같이, 물질(40)은 반응 챔버(30) 내의 노출된 면, 특히 접지된 측벽(32), 샤워 헤드(34), 워크 피스 플랫폼(36) 등의 적어도 일부분 상에 증착되어 있다. 반응제(20)를 외부 에너지원(50), 예컨대 도시된 RF 전력 공급 장치 또는 히터에 노출시켜서 파선의 화살표로 표시된 BCl3 및 Cl과 같은 활성종(60)을 발생시킨다. 활성종(60)은 상기 물질(40)과 반응하여 HfCl4와 같은 휘발성 생성물(70)을 형성한다. 점선의 화살표로 표시된 바와 같이 챔버(30)로부터 휘발성 생성물(70)을 제거한다.
도 1b는 반응제(120)(즉, BCl3)를 마이크로파원과 같은 외부 에너지원(150)에 노출시켜서 애플리케이터/공진공동(115) 내에서 반응제의 고밀도 플라즈마(110)를 발생시키는 장치(100)의 한 예를 제시한다. 그 후 고밀도 플라즈마(110)를 제거해야 할 물질(도시하지 않음)을 보유한 기판(130)(즉, 반응 챔버)에 수송하여 휘발성 생성물(도시하지 않음)을 형성한다. 휘발성 생성물은 도시된 배출로(140)를 통해 펌프(160)의 보조로 챔버(130)로부터 쉽게 제거될 수 있다.
실시예
본 발명은 하기 실시예를 참고로 하여 더욱 상세히 설명할 것이다. 그러나 본 발명이 이들 실시예에만 국한되는 것은 아니다.
아래에서는 고유전율 물질의 건식 에칭/세정을 위해 상기 화학 반응들을 이용하는 실험예를 제시한다. 실시예 1∼3의 실험은 도 2에 도시된 설정과 유사한 평행 평판형 용량 결합 RF 플라즈마 반응기에서 수행하였다. 원자층 증착에 의해 증착된 고유전율 물질인 Al2O3, HfO2 및 ZrO2로 코팅된 웨이퍼로부터 샘플 쿠폰(200)을 제조하였다. 매 실험 수행시, 샘플 쿠폰(200)을 캐리어 웨이퍼(210) 상에 놓고 로드록(230)을 통해 반응기 척(chuck)(220)에 적재하였다. 공정 기체(240)는 상부에 배치된 샤워헤드(255)로부터 반응기(250)로 공급하였다. 그 후 척(220)에 13.56 MHz RF 전원(260)을 공급하여 플라즈마(도시하지 않음)를 발생시켰다. 반응기(250)는 라인(270)을 통해 터보 펌프(도시하지 않음)에 연결된다. 쿠폰 상의 고유전율 막의 두께는 프로세싱 플라즈마의 시한 노출 전과 후에 모두 엘립소메트리로 측정하였다. 플라즈마 프로세싱 후의 고유전율 막의 두께의 변화를 이용하여 에칭 속도를 계산한다. 에칭 속도 외에도 플라즈마 dc 자체 바이어스 전압(V바이어스) 역시 측정하였다. 실시예 1∼3에서는 웨이퍼와 챔버 벽 둘 다 실온에서 유지시켰다.
실시예 1: Al 2 O 3 샘플의 플라즈마 에칭/세정
전력은 플라즈마 에칭/세정에 있어서 중요한 공정 변수 중 하나이기 때문에 본 발명자들은 BCl3 플라즈마에 의한 Al2O3의 전력 의존도를 평가하였다. 그 결과를 하기 표 24에 제시한다.
Al2O3 에칭의 경우 역치 전력 밀도가 0.55 W/cm2, 또는 역치 V바이어스 가 35 V임을 분명히 알 수 있다. 전력 밀도가 크고 V바이어스가 클수록 에칭 속도가 빨라졌다.
그 다음으로 본 발명자들은 BCl3 플라즈마에 의한 Al2O3 에칭의 챔버 압력 의존도를 조사하였다. 그 결과는 하기 표 25에 제시한다.
감압에서의 에칭 속도가 더 빨랐다. 감압에서 에칭 반응이 유리한 것에는 두가지 요인이 있다. 첫째, 더 낮은 압력에서의 더 높은 바이어스 전압은 에칭 반응이 활성화 에너지 장벽을 극복하도록 촉진하는 더 활발한 이온 충돌을 유도한다. 둘째, 더 낮은 압력은 반응 부산물의 더 빠른 탈착 및 확산을 유도한다. 더 높은 V바이어스는 또한 에너지가 충만한 이온에 의한 물리적 스퍼터링을 증강시킨다. 반응성 이온 에칭 및 물리적 스퍼터링에 의한 기여 정도를 알기 위해 순수한 아르곤 플라즈마를 사용하여 비교 실험을 수행하였다. 그 결과는 하기 표 26에 제시한다.
데이터에 의하면 순수한 아르곤 플라즈마는 매우 높은 전력 및 BCl3 플라즈마보다 상대적으로 더 높은 V바이어스에서도 Al2O3를 실질적으로 에칭하지 못하였다. 이것은 물리적 스퍼터링이 Al2O3를 에칭하는 주요 메카니즘이 아닐 수 있음을 암시하는 것이다. 대신에, 이온 충돌이 화학적 에칭을 향상시켰거나, 또는 반응성 이온 에칭(RIE)이 주요 메카니즘일 수 있다.
고정된 RF 여기 주파수(예컨대, 13.56 MHz)에서, 표 24 및 25의 데이터는 더 높은 전력 및 더 낮은 압력은 바이어스 전압을 증가시킬 수 있으며, 이는 고유전율 물질의 화학적 에칭을 향상시킨다는 것을 보여준다. 더 낮은 압력 및 더 높은 전력은 고유전율 막으로 코팅된 기판의 플라즈마 에칭을 향상시키는 데 특히 효과적이다.
또한, 더 낮은 주파수에서 RF 플라즈마를 작동시킬 수 있다. 플라즈마 쉬스를 통한 이온 수송은 종종 더 낮은 주파수에서 이봉성 에너지 분포를 나타낸다. 이봉성 이온 에너지 분포에 의해 더 높은 에너지를 갖는 반응기 표면 상에 충돌하는 이온의 비율이 더 커진다. 이것은 접지된 ALD 챔버 표면으로부터 고유전율 증착 잔류물을 세정하는 것을 향상시키는 효과적인 방법이 될 수 있다.
실시예 2: HfO 2 샘플의 플라즈마 에칭/세정
500 mTorr 압력에서 HfO2의 에칭은 50∼200 W의 모든 전력 레벨에서 이루어졌다. 그 결과는 하기 표 27에 제시되어 있다.
실시예 3: ZrO 2 샘플의 플라즈마 에칭/세정
몇몇 실험은 500 mTorr 압력 및 50∼200 W의 다양한 전력 레벨을 이용하여 ZrO2 샘플을 사용하여 수행하였다. 그 결과는 하기 표 28에 기재되어 있다.
도 3은 500 mTorr의 챔버 압력 및 1 W/cm2의 RF 전력 밀도에서의 고유전율 물질인 HfO2, Al2O3 및 ZrO2의 BCl3 플라즈마 에칭 속도의 상대적 비교 결과를 나타낸 것이다. 3 가지 고유전율 물질 중에서 HfO2가 가장 빠른 에칭 속도를 나타내었고, ZrO2가 가장 느린 에칭 속도를 나타내었다.
실시예 4 및 5는 고유전율 물질의 BCl3 열적 에칭/세정 실험을 예시한다. 도 4는 실시예 4 및 5의 실험 설정의 개략도이다. 이러한 반응기 챔버(300)에서는 RF 전력(310)을 상부 전극(320)에 가할 수 있으며, 하부 전극(330) 및 챔버 벽(340)은 접지시킨다. 이 반응기는 에칭/세정 실험 동안 RF 플라즈마 및 열적 가열 둘 다를 이용하여 작동시켰다. 실시예 4 및 5에서는 열적 가열만을 이용하였다. 하부 전극/페데스탈(330)은 AC 전력 히터(350)에 의해 가열하고 온도 조절기(360)에 의해 조절하였다. 하부 전극/페데스탈(330)의 온도 범위는 실온 내지 700℃였다. 샘플(360) 및 캐리어 웨이퍼(370)를 하부 전극/페데스탈(330) 상에 배치하였다. 샘플 표면 온도는 주변 대기에서 하부 전극 설정 온도보다 약 50℃ 더 낮다. 샘플 제조 및 측정 절차는 실시예 1∼3에서와 유사하였다. 샘플 도입 후 반응기를 배기시키고 히터(350)를 켰다. 하부 전극(330)이 설정 온도에 도달하면 공정 기체(380)를 챔버(300)에 도입하여 설정 압력에 도달하게 하였다. 샘플을 설정 시간 동안 공정 기체(380)에 노출시켰다. 공정 기체(380)를 펌프(도시하지 않음)로 연결되는 라인(390)을 통해 배기시키고, 측정을 위해 반응기 챔버(300)로부터 샘플(360)을 회수하였다.
실시예 4: Al 2 O 3 샘플의 열적 에칭/세정
몇몇 실험은 Al2O3 샘플의 열적 에칭/세정을 위한 에칭액으로서 BCl3를 사용하여 수행하였다. 공정 변수는 하부 전극 온도, 챔버 압력, BCl3 유속이었다. 그 결과는 표 29에 기재되어 있다.
실시예 5: HfO 2 샘플의 열적 에칭/세정
HfO2 샘플의 열적 에칭/세정을 위한 에칭액으로서 BCl3를 사용하여 유사한 실험을 수행하였다. 공정 변수는 하부 전극 온도, 챔버 압력 및 BCl3 유속이었다. 그 결과는 표 30에 제시하였다.
도 5는 일정한 챔버 압력 및 일정한 BCl3 유속에서 하부 전극 온도에 대한 에칭 속도의 의존도를 관찰한 결과이다. 온도가 증가함에 따라 Al2O3 및 HfO2 에칭 속도 둘 다 증가한다는 것을 알 수 있다. HfO2의 에칭 속도는 같은 조건 하에서의 Al2O3의 에칭 속도보다 더 빠르다.
도 6은 일정한 하부 전극 설정 온도 및 일정한 BCl3 유속에서 챔버 압력에 대한 에칭 속도의 의존도를 관찰한 결과이다. 압력이 높아짐에 따라 에칭 속도가 증가한다는 것을 알 수 있다. 약 350℃의 하부 전극 온도에서, 챔버 압력을 증가시키는 것은 에칭 속도를 향상시키는 더욱 효과적인 방법이다. 역시, HfO2의 에칭 속도는 같은 조건 하에서의 Al2O3의 에칭 속도보다 더 빠르다.
표 29 및 30의 데이터는 에칭 속도와 BCl3 유속 사이에 강한 의존성이 없음을 보여준다. 이는 에칭액 기체(예컨대, BCl3)의 연속적인 흐름, 또는 흐름이 없는 설정 압력에서의 정지 챔버 중 어느 하나를 이용하여 열적 에칭/세정을 수행할 수 있음을 의미한다.
비교예 1: Al 2 O 3 , HfO 2 및 ZrO 2 샘플의 NF 3 플라즈마 에칭/세정
도 2에 도시된 것과 동일한 장치에서 실시예 1∼3에서와 동일한 방법에 따라 8.7 표준 입방 센티미터(sccm) NF3 및 49.3 sccm He의 혼합물을 사용하여 한 세트의 플라즈마 실험을 수행하였다. 3 가지의 상이한 고유전율 물질, Al2O3, HfO2 및 ZrO2를 다양한 전력 밀도 및 챔버 압력에서 테스트하였다. 상세한 실험 조건 및 결과는 하기 표 31에 제시된다. 표 31의 결과에서 알 수 있듯이, 전력 밀도가 높고 챔버 압력이 감소될수록 에칭 속도가 증가되었다. 어떤 경우에는, 더 높은 바이어스 전압이 존재하였으며, 이는 에칭 반응이 활성화 에너지 장벽을 극복하도록 더 활발한 이온 충돌을 유도하고, 에너지가 충만한 이온에 의한 물리적 스퍼터링을 강화시킨다. 또한 챔버 압력을 낮추어 탈착 속도를 증가시키고 반응 부산물의 확산을 가속시킬 수 있다. 이전 실시예에서의 BCl3 플라즈마 실험 결과와 비교하여 NF3 플라즈마는 Al2O3, HfO2 또는 ZrO2 샘플에 대한 에칭 속도를 현저히 감소시켰다.
실시예 6: BCl 3 와 NF 3 의 혼합물을 사용하는 HfO 2 , ZrO 2 및 HfSi x O y 샘플의 플라즈마 에칭/세정
3 가지의 상이한 고유전율 물질, HfO2, ZrO2 및 HfSixOy의 에칭 속도를 테스트하기 위해 BCl3와 NF3의 혼합물을 사용하였다. HfO2의 경우, BCl3 의 유속은 10 sccm이었고, NF3의 유속은 상이한 NF3 대 BCl3 부피비를 얻도록 조정하였다. ZrO2 및 HfSixOy의 경우, 총 유속은 20 sccm이었고, BCl3 및 NF3의 유속은 그에 따라 상이한 NF3 대 BCl3 부피비를 얻도록 조정하였다. 모든 실험 수행에 있어서, 전력 밀도는 0.55 W/cm2였고, 챔버 압력은 500 mTorr였다. 실험은 도 2에 도시된 것과 동일한 설정을 이용하고, 실시예 1∼3 및 비교예 1과 동일한 방법에 따라 수행하였다.
도 7a∼7c는 각각 BCl3와 NF3의 혼합물 중의 NF3의 부피 백분율과 HfO2 , HfSixOy 및 ZrO2 에칭 속도 간의 관계를 예시한다. 순수한 BCl3와 비교하여 HfO2에 대한 에칭 속도(도 7a에 도시) 및 HfSixOy에 대한 에칭 속도(도 7b에 도시)는 각각 약 25 부피% NF3 및 15 부피% NF3에서 거의 두배였다.
도 7c는 BCl3에 대한 상이한 비율의 NF3에서의 ZrO2의 에칭 속도를 보여준다. NF3, BCl3는 주어진 플라즈마 조건: 전력 밀도 0.55 W/cm2 및 반응기 챔버 압력 500 mTorr 하에 ZrO2 물질을 제거할 수 없다. 실제로, ZrO2의 두께는 BCl3 플라즈마에 노출된지 1분 후에 증가되었다. 그러나 NF3를 첨가하자 에칭이 발생한다. HfO2 및 HfSixOy와 유사하게 약 20 부피% NF3에서 ZrO2에 대한 에칭 속도가 최대이다.
본 발명을 구체적인 실시예를 참고로 하여 상세히 설명하였으나, 당업자라면 본 발명의 발명 사상 및 범위를 벗어나지 않고 본 발명에 다양한 변화 및 변형이 이루어질 수 있음을 알 것이다.
본 발명의 방법에 의하면 이산화규소보다 유전율이 더 큰 물질을 기판으로부터 효과적으로 제거할 수 있다.
도 1a 및 1b는 각각 내부 에너지원 또는 원격 에너지원을 사용하여 챔버 세정을 수행하기에 적합한 장치를 도시한 것이다.
도 2는 에너지원으로서 플라즈마를 사용하여 본 발명의 방법을 수행하기 위한 장치를 도시한 것이다.
도 3은 다양한 고유전율 물질의 상대적 BCl3 플라즈마 에칭 속도를 Al2O3를 기준으로 하여 나타낸 그래프이다.
도 4는 에너지원으로서 열적 가열을 이용하여 본 발명의 방법을 수행하기 위한 장치를 도시한 것이다.
도 5는 일정한 챔버 압력 및 일정한 BCl3 유속에서의 하부 전극/페데스탈 설정 온도에 대한 에칭 속도 의존도를 나타낸 것이다.
도 6은 일정한 하부 전극 설정 온도 및 일정한 BCl3 유속에서의 챔버 압력에 대한 에칭 속도 의존도를 나타낸 것이다.
도 7a 내지 7c는 각각 본 발명의 한 구체예에 대한 BCl3와 NF3의 혼합물 중의 상이한 NF3 백분율에서의 HfO2, HfSixOy 및 ZrO2 에칭 속도를 도시한다.

Claims (27)

  1. 반응기 표면을 포함하는 반응기를 제공하는 단계로서, (a) 상기 반응기 표면은 적어도 부분적으로 물질의 막으로 코팅되어 있고; (b) 상기 물질은 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염으로 이루어진 군에서 선택되는 1종 이상의 화합물, 또는 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염, 질소 함유 13족 금속 산화물 또는 질소 함유 13족 금속 규산염으로 이루어진 군에서 선택되는 1 이상의 층을 포함하는 라미네이트이며; (c) 상기 물질은 유전율이 이산화규소의 유전율보다 더 큰 것인 단계;
    상기 물질과 반응제를 반응시켜 휘발성 생성물을 형성하는 단계로서, 상기 반응제는 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 화합물을 포함하는 것인 단계; 및
    상기 반응기로부터 휘발성 생성물을 제거함으로써 반응기 표면으로부터 상기 물질을 제거하는 단계
    를 포함하는, 반응기 표면으로부터 물질을 세정하는 방법.
  2. 제1항에 있어서, 반응기는 원자층 증착 반응기인 방법.
  3. 제1항에 있어서, 물질은 Al2O3, HfO2, ZrO2, HfSixO y 및 ZrSixOy[여기서, x는 0보다 큰 수이고, y는 2x + 2임] 및 전술한 질소 함유 화합물 중 임의의 것으로 이루어진 군에서 선택되는 1종 이상의 화합물인 방법.
  4. 제1항에 있어서, 반응제는 BCl3, COCl2, HCl, Cl2, ClF3, NF 3, F2 및 NFzCl3-z[여기서, z는 0∼2의 정수임]로 이루어진 군에서 선택되는 1종 이상의 화합물인 방법.
  5. 제4항에 있어서, 반응제는 CO와 Cl2의 동일계 반응(in situ reaction)에 의해 형성된 COCl2인 방법.
  6. 제4항에 있어서, 반응제는 BCl3인 방법.
  7. 제1항에 있어서, 반응제는 화학식 CxHyClz[여기서, x는 1∼6의 수이고, y는 0∼13의 수이고, z는 1∼14의 수임]의 탄소 함유 화합물인 방법.
  8. 제1항에 있어서, 반응제는 기체 실린더, 안전 전달 시스템 또는 진공 전달 시스템으로부터 상기 물질로 전달되는 것인 방법.
  9. 표면의 적어도 일부분이 적어도 부분적으로 물질로 코팅되어 있는 반응 챔버를 제공하는 단계로서, 상기 물질은 유전율이 4.1 이상이며, 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염으로 이루어진 군에서 선택되는 1종 이상의 화합물, 또는 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염으로 이루어진 군의 1 이상의 층을 포함하는 라미네이트인 단계;
    반응제를 상기 반응 챔버로 도입하는 단계로서, 상기 반응제는 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 화합물을 포함하는 것인 단계;
    상기 물질과 상기 반응제를 반응시켜 휘발성 생성물을 형성하기에 충분한 1 이상의 에너지원에 상기 반응제를 노출시키는 단계; 및
    상기 반응 챔버로부터 휘발성 생성물을 제거하는 단계
    를 포함하는, 반응 챔버 표면의 적어도 일부분으로부터 물질을 제거하는 방법.
  10. 제9항에 있어서, 반응제는 기체 실린더, 안전 전달 시스템 또는 진공 전달 시스템으로부터 상기 물질로 전달되는 것인 방법.
  11. 제1항 또는 제9항에 있어서, 반응제는 소요지 발생기에 의해 동일계에서 형성되는 것인 방법.
  12. 제1항 또는 제9항에 있어서, 물질은 불활성 기체 희석제로 희석된 반응제와 접촉되는 것인 방법.
  13. 제9항에 있어서, 반응제는 비반응성 지지체 상에 증착되는 것인 방법.
  14. 제9항에 있어서, 반응제는 1 이상의 에너지원에 노출시키고, 노출 단계는 도입 단계 전에 수행하는 것인 방법.
  15. 제9항에 있어서, 반응제는 1 이상의 에너지원에 노출시키고, 노출 단계는 도입 단계의 적어도 일부분 동안 수행하는 것인 방법.
  16. 제9항에 있어서, 노출 단계의 온도는 150℃ 이상인 방법.
  17. 제9항에 있어서, 노출 단계의 압력은 10 mTorr 이상인 방법.
  18. 제1항 또는 제9항에 있어서, 반응제는 할로겐 함유 화합물의 혼합물을 포함하는 것인 방법.
  19. 제18항에 있어서, 혼합물은 1종 이상의 염소 함유 기체 및 50 부피% 미만의 1종 이상의 불소 함유 기체를 포함하는 것인 방법.
  20. 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 반응제; 및
    상부에 1종 이상의 반응제가 증착되어 있는 비반응성 지지체
    를 포함하는, 반응기의 하나 이상의 표면으로부터 물질을 제거하기 위한 장치.
  21. 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 반응제; 및
    불활성 희석제
    를 포함하는, 반응기의 하나 이상의 표면으로부터 물질을 제거하기 위한 혼합물.
  22. 전이 금속 산화물, 전이 금속 규산염, Al2O3 외의 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염으로 이루어진 군에서 선택되는 1종 이상의 화합물, 또는 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물 또는 질소 함유 전이 금속 규산염으로 이루어진 군의 1 이상의 층을 포함하는 라미네이트인 물질의 막으로 적어도 부분적으로 코팅되어 있는 기판을 제공하는 단계로서, 상기 물질은 유전율이 이산화규소의 유전율보다 더 큰 것인 단계;
    상기 물질과 반응제를 반응시켜 휘발성 생성물을 형성하는 단계로서, 상기 반응제는 할로겐 함유 화합물, 붕소 함유 화합물, 탄소 함유 화합물, 수소 함유 화합물, 질소 함유 화합물, 킬레이트화 화합물, 클로로실란 화합물, 히드로클로로실란 화합물 또는 오르가노클로로실란 화합물로 이루어진 군에서 선택되는 1종 이상의 화합물을 포함하는 것인 단계; 및
    상기 기판으로부터 휘발성 생성물을 제거함으로써 기판으로부터 상기 물질을 제거하는 단계
    를 포함하는, 기판의 하나 이상의 표면으로부터 물질을 제거하는 방법.
  23. 제22항에 있어서, 물질은 HfO2, ZrO2, HfSixOy, ZrSix Oy[여기서, x는 0보다 크고, y는 2x + 2임], Al2SiwOz[여기서, w는 0보다 크고, z는 2w + 3임], 또는 전술한 질소 함유 화합물 중 임의의 것으로 이루어진 군에서 선택되는 1종 이상의 화합물인 방법.
  24. 제22항에 있어서, 물질은 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염, 질소 함유 13족 금속 산화물 또는 질소 함유 13족 금속 규산염으로 이루어진 군에서 선택되는 1종 이상의 물질로 이루어진 층을 포함하는 라미네이트인 방법.
  25. 제22항에 있어서, 반응제는 BCl3, COCl2, HCl, Cl2, ClF3, NF 3, F2 및 NFzCl3-z[여기서, z는 0∼2의 정수임]로 이루어진 군에서 선택되는 1종 이상의 화합물인 방법.
  26. 제22항에 있어서, 물질은 HfO2, ZrO2, HfSixOy, ZrSix Oy[여기서, x는 0보다 크고, y는 2x + 2임], Al2SiwOz[여기서, w는 0보다 크고, z는 2w + 3임], 또는 전술한 질소 함유 화합물 중 임의의 것으로 이루어진 군에서 선택되는 1종 이상의 화합물인 방법.
  27. 반응기 표면을 포함하는 반응기를 제공하는 단계로서, (a) 반응기 표면은 적어도 부분적으로 물질의 막으로 코팅되어 있으며; (b) 상기 물질은 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 13족 금속 산화물, 질소 함유 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염으로 이루어진 군에서 선택되는 1종 이상의 화합물, 또는 전이 금속 산화물, 전이 금속 규산염, 13족 금속 산화물, 13족 금속 규산염, 질소 함유 전이 금속 산화물, 질소 함유 전이 금속 규산염, 질소 함유 13족 금속 산화물 또는 질소 함유 13족 금속 규산염으로 이루어진 군에서 선택되는 1 이상의 층을 포함하는 라미네이트이고; (c) 상기 물질은 유전율이 이산화규소의 유전율보다 큰 것인 단계;
    1종 이상의 불소 함유 화합물과, 염소 함유 화합물, 브롬 함유 화합물 또는 요오드 함유 화합물 중에서 선택되는 1종 이상의 화합물을 포함하는 반응제를 상기 물질과 반응시키는 단계로서, 상기 불소 함유 화합물은 반응제 양의 50 부피% 미만인 단계; 및
    상기 반응기로부터 휘발성 생성물을 제거함으로써 반응기 표면으로부터 상기 물질을 제거하는 단계
    를 포함하는, 반응기 표면으로부터 물질을 세정하는 방법.
KR1020040097222A 2003-11-26 2004-11-25 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법 KR100667723B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/723,714 2003-11-26
US10/723,714 US7357138B2 (en) 2002-07-18 2003-11-26 Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Publications (2)

Publication Number Publication Date
KR20050050579A true KR20050050579A (ko) 2005-05-31
KR100667723B1 KR100667723B1 (ko) 2007-01-15

Family

ID=34465714

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040097222A KR100667723B1 (ko) 2003-11-26 2004-11-25 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법

Country Status (7)

Country Link
US (1) US7357138B2 (ko)
EP (1) EP1538235A1 (ko)
JP (1) JP2005175466A (ko)
KR (1) KR100667723B1 (ko)
CN (1) CN100372055C (ko)
SG (2) SG135203A1 (ko)
TW (1) TWI267898B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120075397A (ko) * 2010-12-28 2012-07-06 에이에스엠 저펜 가부시기가이샤 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법
KR20180119471A (ko) * 2017-04-25 2018-11-02 가부시키가이샤 히다치 하이테크놀로지즈 반도체 제조 장치 및 반도체 장치의 제조 방법
WO2022154240A1 (ko) * 2021-01-12 2022-07-21 에스케이스페셜티 주식회사 금속산화물 반도체 물질의 증착 챔버의 세정 방법

Families Citing this family (515)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004225152A (ja) * 2003-01-27 2004-08-12 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
EP1629529A2 (en) * 2003-05-30 2006-03-01 Tokyo Electron Limited Method and system for etching a high-k dielectric material
US20050153563A1 (en) * 2004-01-14 2005-07-14 Lam Research Corporation Selective etch of films with high dielectric constant
JP2005268292A (ja) * 2004-03-16 2005-09-29 Toshiba Corp 半導体装置の製造方法
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7179759B2 (en) * 2004-09-30 2007-02-20 Taiwan Semiconductor Manufacturing Company Barrier layer and fabrication method thereof
JP4836112B2 (ja) * 2004-12-24 2011-12-14 国立大学法人京都大学 半導体処理装置のクリーニング方法およびシリコン基板のエッチング方法
US7509962B2 (en) * 2005-01-21 2009-03-31 Tokyo Electron Limited Method and control system for treating a hafnium-based dielectric processing system
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7485580B2 (en) * 2005-09-20 2009-02-03 Air Products And Chemicals, Inc. Method for removing organic electroluminescent residues from a substrate
US20080047579A1 (en) * 2006-08-25 2008-02-28 Bing Ji Detecting the endpoint of a cleaning process
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN101536154B (zh) * 2006-11-09 2010-08-11 株式会社爱发科 遮蔽膜的形成方法
JP5110987B2 (ja) * 2007-07-05 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法およびコンピュータ読み取り可能な記録媒体
JP5297615B2 (ja) * 2007-09-07 2013-09-25 株式会社日立ハイテクノロジーズ ドライエッチング方法
US20100186774A1 (en) * 2007-09-19 2010-07-29 Hironobu Miya Cleaning method and substrate processing apparatus
JP2009076590A (ja) * 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP4994197B2 (ja) * 2007-11-16 2012-08-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5226452B2 (ja) * 2008-10-09 2013-07-03 大日本スクリーン製造株式会社 チャンバ洗浄方法
US20100112191A1 (en) * 2008-10-30 2010-05-06 Micron Technology, Inc. Systems and associated methods for depositing materials
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
WO2010129289A2 (en) * 2009-04-28 2010-11-11 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
DE102010060297A1 (de) * 2009-11-04 2011-07-28 Oerlikon Solar Ag, Trübbach Verfahren zum Entfernen von Kontamination von einem Reaktor
JP5655296B2 (ja) * 2009-12-01 2015-01-21 セントラル硝子株式会社 エッチングガス
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
WO2014094103A1 (en) * 2012-12-18 2014-06-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6114636B2 (ja) * 2013-06-06 2017-04-12 東京エレクトロン株式会社 乾燥装置及び乾燥処理方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
KR20160137967A (ko) * 2014-03-24 2016-12-02 아이엠이씨 브이제트더블유 프로세스 챔버의 클리닝 방법
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) * 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6604738B2 (ja) * 2015-04-10 2019-11-13 東京エレクトロン株式会社 プラズマエッチング方法、パターン形成方法及びクリーニング方法
WO2016190036A1 (ja) * 2015-05-22 2016-12-01 株式会社 日立ハイテクノロジーズ プラズマ処理装置およびそれを用いたプラズマ処理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP6761166B2 (ja) * 2015-07-23 2020-09-23 セントラル硝子株式会社 ウェットエッチング方法及びエッチング液
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10256108B2 (en) 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017213842A2 (en) 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2017210518A1 (en) * 2016-06-03 2017-12-07 Entegris, Inc. Vapor phase etching of hafnia and zirconia
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10208383B2 (en) 2017-02-09 2019-02-19 The Regents Of The University Of Colorado, A Body Corporate Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180350571A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20180345330A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20180347037A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
CN109023302B (zh) * 2017-06-12 2020-11-10 北京北方华创微电子装备有限公司 一种成膜设备及其原位清洗方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
WO2019051364A1 (en) * 2017-09-11 2019-03-14 Applied Materials, Inc. IN SITU SELECTIVE CLEANING OF HIGH K FILMS FROM A PROCESSING CHAMBER USING A REACTIVE GAS PRECURSOR
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10622221B2 (en) * 2017-12-14 2020-04-14 Applied Materials, Inc. Methods of etching metal oxides with less etch residue
JP6902991B2 (ja) 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7093656B2 (ja) * 2018-03-22 2022-06-30 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法および半導体素子の製造方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112640062B (zh) * 2018-09-05 2024-04-12 株式会社国际电气 清扫方法、半导体装置的制造方法、记录介质和基板处理装置
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
KR102560323B1 (ko) 2020-04-03 2023-07-28 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
US11226446B2 (en) * 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2022063748A (ja) 2020-10-12 2022-04-22 東京エレクトロン株式会社 埋め込み方法及び成膜装置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
JP7307861B2 (ja) * 2021-06-09 2023-07-12 株式会社日立ハイテク 半導体製造方法及び半導体製造装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4030967A (en) * 1976-08-16 1977-06-21 Northern Telecom Limited Gaseous plasma etching of aluminum and aluminum oxide
US5288662A (en) 1992-06-15 1994-02-22 Air Products And Chemicals, Inc. Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning
DE69320963T2 (de) 1992-06-22 1999-05-12 Lam Res Corp Plasmareinigungsverfahren zum entfernen von rückständen in einer plasmabehandlungskammer
US5637153A (en) 1993-04-30 1997-06-10 Tokyo Electron Limited Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus
US5454903A (en) 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
GB9626329D0 (en) 1996-12-19 1997-02-05 British Nuclear Fuels Plc Improvements in and relating to the storage, transportation and production of active fluoride
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5972722A (en) 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
JP2000133633A (ja) * 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
JP2000200779A (ja) 1998-10-30 2000-07-18 Toshiba Corp エッチング方法,化学気相成長装置,化学気相成長装置のクリ―ニング方法,及び化学気相成長装置用の石英部材
KR100279907B1 (ko) * 1998-12-31 2001-03-02 김동성 반도체 장치의 제조공정시 챔버의 평균 클리닝 주기를증가시키기 위한 챔버 시즈닝 처리방법
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
WO2000079019A1 (en) 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
JP2002025991A (ja) * 2000-07-13 2002-01-25 Fuji Electric Co Ltd プラズマクリーニング方法、半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4163395B2 (ja) * 2001-05-17 2008-10-08 株式会社日立国際電気 半導体装置の製造方法および半導体装置の製造装置
EP1460678A4 (en) 2001-07-31 2010-01-06 Air Liquide CLEANING METHOD AND APPARATUS AND METHOD AND APPARATUS FOR ETCHING
JP4374854B2 (ja) * 2001-12-25 2009-12-02 東京エレクトロン株式会社 処理装置及びそのクリーニング方法
JP3806868B2 (ja) 2002-01-07 2006-08-09 株式会社日立製作所 Cvd装置のクリーニング方法
JP3891848B2 (ja) * 2002-01-17 2007-03-14 東京エレクトロン株式会社 処理装置および処理方法
JP2003218100A (ja) * 2002-01-21 2003-07-31 Central Glass Co Ltd 混合クリーニングガス組成物
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
JP4099092B2 (ja) 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
JP3897165B2 (ja) 2002-07-02 2007-03-22 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120075397A (ko) * 2010-12-28 2012-07-06 에이에스엠 저펜 가부시기가이샤 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법
KR20180119471A (ko) * 2017-04-25 2018-11-02 가부시키가이샤 히다치 하이테크놀로지즈 반도체 제조 장치 및 반도체 장치의 제조 방법
WO2022154240A1 (ko) * 2021-01-12 2022-07-21 에스케이스페셜티 주식회사 금속산화물 반도체 물질의 증착 챔버의 세정 방법

Also Published As

Publication number Publication date
JP2005175466A (ja) 2005-06-30
US7357138B2 (en) 2008-04-15
CN100372055C (zh) 2008-02-27
SG112101A1 (en) 2005-06-29
TWI267898B (en) 2006-12-01
EP1538235A1 (en) 2005-06-08
KR100667723B1 (ko) 2007-01-15
TW200518178A (en) 2005-06-01
CN1638028A (zh) 2005-07-13
US20040129671A1 (en) 2004-07-08
SG135203A1 (en) 2007-09-28

Similar Documents

Publication Publication Date Title
KR100667723B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
KR100644176B1 (ko) 고 유전상수 재료에 대해 증착실을 세정하는 방법
US20040011380A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7581549B2 (en) Method for removing carbon-containing residues from a substrate
US20060016783A1 (en) Process for titanium nitride removal
KR100760891B1 (ko) 불소 이용 강화를 위한 방법
US6242359B1 (en) Plasma cleaning and etching methods using non-global-warming compounds
TWI381438B (zh) 半導體處理裝置之清潔方法及矽基板之蝕刻方法
US20050112901A1 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP2007016315A (ja) Cvdプロセス・チャンバのリモート・プラズマ・クリーニング方法
US7485580B2 (en) Method for removing organic electroluminescent residues from a substrate
US20060254613A1 (en) Method and process for reactive gas cleaning of tool parts
TWI475611B (zh) 選擇性蝕刻及二氟化氙的形成
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
JP2008060171A (ja) 半導体処理装置のクリーニング方法
KR100575847B1 (ko) 반도체 및 평판디스플레이 설비의 부산물 포집방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091230

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee