CN1638028A - 蚀刻高介电常数材料和清洗用于高介电常数材料的沉积室的方法 - Google Patents
蚀刻高介电常数材料和清洗用于高介电常数材料的沉积室的方法 Download PDFInfo
- Publication number
- CN1638028A CN1638028A CNA2004101005704A CN200410100570A CN1638028A CN 1638028 A CN1638028 A CN 1638028A CN A2004101005704 A CNA2004101005704 A CN A2004101005704A CN 200410100570 A CN200410100570 A CN 200410100570A CN 1638028 A CN1638028 A CN 1638028A
- Authority
- CN
- China
- Prior art keywords
- nitrogenous
- family
- transition metal
- reactant
- compound
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 88
- 239000000463 material Substances 0.000 title claims description 163
- 238000005530 etching Methods 0.000 title abstract description 41
- 238000004140 cleaning Methods 0.000 title abstract description 39
- 230000008021 deposition Effects 0.000 title description 13
- 150000001875 compounds Chemical class 0.000 claims abstract description 59
- -1 nitrogen-containing compound Chemical class 0.000 claims abstract description 40
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 19
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 18
- 229910052736 halogen Inorganic materials 0.000 claims abstract description 16
- 150000002367 halogens Chemical class 0.000 claims abstract description 16
- 239000001257 hydrogen Substances 0.000 claims abstract description 16
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims abstract description 14
- 229910052796 boron Inorganic materials 0.000 claims abstract description 13
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 12
- 230000008569 process Effects 0.000 claims abstract description 10
- 239000000377 silicon dioxide Substances 0.000 claims abstract description 10
- 239000003795 chemical substances by application Substances 0.000 claims abstract description 9
- 235000012239 silicon dioxide Nutrition 0.000 claims abstract description 9
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 5
- 238000006243 chemical reaction Methods 0.000 claims description 88
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 82
- 239000000376 reactant Substances 0.000 claims description 69
- 239000000460 chlorine Substances 0.000 claims description 48
- 229910044991 metal oxide Inorganic materials 0.000 claims description 47
- 150000004706 metal oxides Chemical class 0.000 claims description 47
- 229910052914 metal silicate Inorganic materials 0.000 claims description 41
- 229910000314 transition metal oxide Inorganic materials 0.000 claims description 40
- 229910000326 transition metal silicate Inorganic materials 0.000 claims description 39
- 239000011159 matrix material Substances 0.000 claims description 26
- 239000007789 gas Substances 0.000 claims description 23
- 239000000203 mixture Substances 0.000 claims description 23
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 claims description 18
- 239000013522 chelant Substances 0.000 claims description 16
- 229910052801 chlorine Inorganic materials 0.000 claims description 16
- 239000002648 laminated material Substances 0.000 claims description 15
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 13
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims description 11
- 150000001722 carbon compounds Chemical class 0.000 claims description 11
- 229910052794 bromium Inorganic materials 0.000 claims description 10
- ALQGDOUABWTVGT-UHFFFAOYSA-N chloro-$l^{3}-silane Chemical class Cl[SiH2] ALQGDOUABWTVGT-UHFFFAOYSA-N 0.000 claims description 10
- 238000011065 in-situ storage Methods 0.000 claims description 9
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 claims description 7
- 229910006249 ZrSi Inorganic materials 0.000 claims description 6
- 229910052782 aluminium Inorganic materials 0.000 claims description 5
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 3
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 3
- 239000003085 diluting agent Substances 0.000 claims description 3
- 239000011261 inert gas Substances 0.000 claims description 3
- 150000002497 iodine compounds Chemical group 0.000 claims description 3
- 239000003701 inert diluent Substances 0.000 claims description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims 1
- 229910000077 silane Inorganic materials 0.000 claims 1
- 239000000126 substance Substances 0.000 abstract description 21
- 239000000758 substrate Substances 0.000 abstract description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 abstract description 3
- 239000005046 Chlorosilane Substances 0.000 abstract description 3
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 abstract description 3
- 150000001367 organochlorosilanes Chemical class 0.000 abstract description 3
- 239000002738 chelating agent Substances 0.000 abstract description 2
- 210000002381 plasma Anatomy 0.000 description 57
- 239000000047 product Substances 0.000 description 19
- 239000002245 particle Substances 0.000 description 17
- 210000004027 cell Anatomy 0.000 description 15
- 238000012360 testing method Methods 0.000 description 15
- 239000010408 film Substances 0.000 description 14
- 238000001020 plasma etching Methods 0.000 description 14
- 150000002500 ions Chemical class 0.000 description 13
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 12
- 238000000151 deposition Methods 0.000 description 12
- 239000001301 oxygen Substances 0.000 description 12
- 229910052760 oxygen Inorganic materials 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 229910052731 fluorine Inorganic materials 0.000 description 10
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 9
- 239000011737 fluorine Substances 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 238000001312 dry etching Methods 0.000 description 8
- 239000006227 byproduct Substances 0.000 description 7
- NEHMKBQYUWJMIP-UHFFFAOYSA-N chloromethane Chemical compound ClC NEHMKBQYUWJMIP-UHFFFAOYSA-N 0.000 description 7
- 229910052740 iodine Inorganic materials 0.000 description 6
- 238000007254 oxidation reaction Methods 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical group [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 5
- 230000004888 barrier function Effects 0.000 description 5
- OMRRUNXAWXNVFW-UHFFFAOYSA-N fluoridochlorine Chemical compound ClF OMRRUNXAWXNVFW-UHFFFAOYSA-N 0.000 description 5
- 238000010849 ion bombardment Methods 0.000 description 5
- 230000007246 mechanism Effects 0.000 description 5
- 229910001510 metal chloride Inorganic materials 0.000 description 5
- 229910052757 nitrogen Inorganic materials 0.000 description 5
- 229910015844 BCl3 Inorganic materials 0.000 description 4
- 229940123973 Oxygen scavenger Drugs 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 4
- 230000004913 activation Effects 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 238000005660 chlorination reaction Methods 0.000 description 4
- 238000010790 dilution Methods 0.000 description 4
- 239000012895 dilution Substances 0.000 description 4
- 230000002708 enhancing effect Effects 0.000 description 4
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000002360 preparation method Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000005406 washing Methods 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 3
- 229910006501 ZrSiO Inorganic materials 0.000 description 3
- 239000002585 base Substances 0.000 description 3
- 238000003486 chemical etching Methods 0.000 description 3
- 235000019504 cigarettes Nutrition 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- TZMFJUDUGYTVRY-UHFFFAOYSA-N ethyl methyl diketone Natural products CCC(=O)C(C)=O TZMFJUDUGYTVRY-UHFFFAOYSA-N 0.000 description 3
- 230000002349 favourable effect Effects 0.000 description 3
- 239000011630 iodine Substances 0.000 description 3
- 238000010884 ion-beam technique Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 230000007704 transition Effects 0.000 description 3
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 3
- 229910017109 AlON Inorganic materials 0.000 description 2
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 2
- 229910004143 HfON Inorganic materials 0.000 description 2
- CYTYCFOTNPOANT-UHFFFAOYSA-N Perchloroethylene Chemical group ClC(Cl)=C(Cl)Cl CYTYCFOTNPOANT-UHFFFAOYSA-N 0.000 description 2
- YGYAWVDWMABLBF-UHFFFAOYSA-N Phosgene Chemical compound ClC(Cl)=O YGYAWVDWMABLBF-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- ATFJOPNBIKXOBU-UHFFFAOYSA-N [Br].[C] Chemical compound [Br].[C] ATFJOPNBIKXOBU-UHFFFAOYSA-N 0.000 description 2
- LPSCRKGVODJZIB-UHFFFAOYSA-N [I].[C] Chemical compound [I].[C] LPSCRKGVODJZIB-UHFFFAOYSA-N 0.000 description 2
- YRKCREAYFQTBPV-UHFFFAOYSA-N acetylacetone Chemical compound CC(=O)CC(C)=O YRKCREAYFQTBPV-UHFFFAOYSA-N 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- VSCWAEJMTAWNJL-UHFFFAOYSA-K aluminium trichloride Chemical compound Cl[Al](Cl)Cl VSCWAEJMTAWNJL-UHFFFAOYSA-K 0.000 description 2
- BYFGZMCJNACEKR-UHFFFAOYSA-N aluminium(i) oxide Chemical compound [Al]O[Al] BYFGZMCJNACEKR-UHFFFAOYSA-N 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000002902 bimodal effect Effects 0.000 description 2
- ILAHWRKJUDSMFH-UHFFFAOYSA-N boron tribromide Chemical compound BrB(Br)Br ILAHWRKJUDSMFH-UHFFFAOYSA-N 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 239000003153 chemical reaction reagent Substances 0.000 description 2
- XCJXQCUJXDUNDN-UHFFFAOYSA-N chlordene Chemical compound C12C=CCC2C2(Cl)C(Cl)=C(Cl)C1(Cl)C2(Cl)Cl XCJXQCUJXDUNDN-UHFFFAOYSA-N 0.000 description 2
- 239000012320 chlorinating reagent Substances 0.000 description 2
- 230000000052 comparative effect Effects 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000002425 crystallisation Methods 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- RCJVRSBWZCNNQT-UHFFFAOYSA-N dichloridooxygen Chemical compound ClOCl RCJVRSBWZCNNQT-UHFFFAOYSA-N 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 2
- XMBWDFGMSWQBCA-UHFFFAOYSA-M iodide Chemical compound [I-] XMBWDFGMSWQBCA-UHFFFAOYSA-M 0.000 description 2
- 229940006461 iodide ion Drugs 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- CTSLXHKWHWQRSH-UHFFFAOYSA-N oxalyl chloride Chemical compound ClC(=O)C(Cl)=O CTSLXHKWHWQRSH-UHFFFAOYSA-N 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 238000005728 strengthening Methods 0.000 description 2
- 239000006228 supernatant Substances 0.000 description 2
- 229950011008 tetrachloroethylene Drugs 0.000 description 2
- KFUSEUYYWQURPO-OWOJBTEDSA-N trans-1,2-dichloroethene Chemical group Cl\C=C\Cl KFUSEUYYWQURPO-OWOJBTEDSA-N 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- 150000003624 transition metals Chemical class 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- BERXRDWPBSLJLU-UHFFFAOYSA-N 1,1,1,4,4,5-hexafluoropentane-2,3-dione Chemical compound FCC(F)(F)C(=O)C(=O)C(F)(F)F BERXRDWPBSLJLU-UHFFFAOYSA-N 0.000 description 1
- UOCLXMDMGBRAIB-UHFFFAOYSA-N 1,1,1-trichloroethane Chemical class CC(Cl)(Cl)Cl UOCLXMDMGBRAIB-UHFFFAOYSA-N 0.000 description 1
- 125000004215 2,4-difluorophenyl group Chemical group [H]C1=C([H])C(*)=C(F)C([H])=C1F 0.000 description 1
- OEPOKWHJYJXUGD-UHFFFAOYSA-N 2-(3-phenylmethoxyphenyl)-1,3-thiazole-4-carbaldehyde Chemical compound O=CC1=CSC(C=2C=C(OCC=3C=CC=CC=3)C=CC=2)=N1 OEPOKWHJYJXUGD-UHFFFAOYSA-N 0.000 description 1
- SYNPRNNJJLRHTI-UHFFFAOYSA-N 2-(hydroxymethyl)butane-1,4-diol Chemical compound OCCC(CO)CO SYNPRNNJJLRHTI-UHFFFAOYSA-N 0.000 description 1
- PZZOEXPDTYIBPI-UHFFFAOYSA-N 2-[[2-(4-hydroxyphenyl)ethylamino]methyl]-3,4-dihydro-2H-naphthalen-1-one Chemical compound C1=CC(O)=CC=C1CCNCC1C(=O)C2=CC=CC=C2CC1 PZZOEXPDTYIBPI-UHFFFAOYSA-N 0.000 description 1
- PWUUBJHSCBGGAC-UHFFFAOYSA-N C(F)(F)F.[O].[F] Chemical compound C(F)(F)F.[O].[F] PWUUBJHSCBGGAC-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- 229910020323 ClF3 Inorganic materials 0.000 description 1
- DOJXGHGHTWFZHK-UHFFFAOYSA-N Hexachloroacetone Chemical compound ClC(Cl)(Cl)C(=O)C(Cl)(Cl)Cl DOJXGHGHTWFZHK-UHFFFAOYSA-N 0.000 description 1
- 229910003855 HfAlO Inorganic materials 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- 239000002841 Lewis acid Substances 0.000 description 1
- 239000002879 Lewis base Substances 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910003910 SiCl4 Inorganic materials 0.000 description 1
- UCKMPCXJQFINFW-UHFFFAOYSA-N Sulphide Chemical compound [S-2] UCKMPCXJQFINFW-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910007926 ZrCl Inorganic materials 0.000 description 1
- JCHQKERCGIFPRD-UHFFFAOYSA-K [B+3].[I-].[I-].[I-] Chemical compound [B+3].[I-].[I-].[I-] JCHQKERCGIFPRD-UHFFFAOYSA-K 0.000 description 1
- UOBPHQJGWSVXFS-UHFFFAOYSA-N [O].[F] Chemical compound [O].[F] UOBPHQJGWSVXFS-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000013543 active substance Substances 0.000 description 1
- 239000003513 alkali Substances 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 125000004202 aminomethyl group Chemical group [H]N([H])C([H])([H])* 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000012267 brine Substances 0.000 description 1
- 230000031709 bromination Effects 0.000 description 1
- 238000005893 bromination reaction Methods 0.000 description 1
- 150000001649 bromium compounds Chemical class 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- 150000001721 carbon Chemical group 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 150000001804 chlorine Chemical class 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- 125000004218 chloromethyl group Chemical group [H]C([H])(Cl)* 0.000 description 1
- KFUSEUYYWQURPO-UPHRSURJSA-N cis-1,2-dichloroethene Chemical group Cl\C=C/Cl KFUSEUYYWQURPO-UPHRSURJSA-N 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 230000008025 crystallization Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 125000006222 dimethylaminomethyl group Chemical group [H]C([H])([H])N(C([H])([H])[H])C([H])([H])* 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 238000004821 distillation Methods 0.000 description 1
- 238000005108 dry cleaning Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000010891 electric arc Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000010436 fluorite Substances 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000008246 gaseous mixture Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 125000001188 haloalkyl group Chemical group 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- VBZWSGALLODQNC-UHFFFAOYSA-N hexafluoroacetone Chemical compound FC(F)(F)C(=O)C(F)(F)F VBZWSGALLODQNC-UHFFFAOYSA-N 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- AQYSYJUIMQTRMV-UHFFFAOYSA-N hypofluorous acid Chemical class FO AQYSYJUIMQTRMV-UHFFFAOYSA-N 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000006192 iodination reaction Methods 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 150000007517 lewis acids Chemical class 0.000 description 1
- 150000007527 lewis bases Chemical class 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 229910001512 metal fluoride Inorganic materials 0.000 description 1
- 229910021645 metal ion Inorganic materials 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 125000001037 p-tolyl group Chemical group [H]C1=C([H])C(=C([H])C([H])=C1*)C([H])([H])[H] 0.000 description 1
- 125000006340 pentafluoro ethyl group Chemical group FC(F)(F)C(F)(F)* 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000003389 potentiating effect Effects 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- JUJWROOIHBZHMG-UHFFFAOYSA-N pyridine Substances C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- FDRCDNZGSXJAFP-UHFFFAOYSA-M sodium chloroacetate Chemical compound [Na+].[O-]C(=O)CCl FDRCDNZGSXJAFP-UHFFFAOYSA-M 0.000 description 1
- AKHNMLFCWUSKQB-UHFFFAOYSA-L sodium thiosulfate Chemical compound [Na+].[Na+].[O-]S([O-])(=O)=S AKHNMLFCWUSKQB-UHFFFAOYSA-L 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 230000004936 stimulating effect Effects 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 230000002195 synergetic effect Effects 0.000 description 1
- WYURNTSHIVDZCO-UHFFFAOYSA-N tetrahydrofuran Substances C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 1
- 230000008719 thickening Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 125000003866 trichloromethyl group Chemical group ClC(Cl)(Cl)* 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- JOHWNGGYGAVMGU-UHFFFAOYSA-N trifluorochlorine Chemical compound FCl(F)F JOHWNGGYGAVMGU-UHFFFAOYSA-N 0.000 description 1
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 238000005200 wet scrubbing Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
- B08B7/0035—Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Metallurgy (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Optics & Photonics (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Abstract
本发明公开一种用蚀刻和/或清洗应用从基体上除去一种物质的方法。在一个实施方案中,提供一种从基体上除去具有介电常数比二氧化硅大的物质的方法,该方法通过该物质与反应剂反应形成挥发性产物,并从基体上除去该挥发性产物,从而从基体上除去该物质,其中该反应剂包括选自由含卤素化合物、含硼化合物、含氢化合物、含氮化合物、螯合物、含碳化合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的至少一种。
Description
相关申请的交叉引用
本申请是2003年4月10日提交的美国专利申请No.10/410,803的部分继续申请,而申请No.10/10,803是2002年7月18日提交的美国专利申请No.10/198,509的部分继续申请,它们公开的内容在本文中全文引用供参考。
背景技术
在制造半导体集成电路(IC)中,介电材料,如二氧化硅(SiO2)、氮化硅(Si3N4)和硅的氮氧化物(SiON),具有广泛的用途,如晶体管栅极绝缘体。这种绝缘体经常称为栅极介质。随着IC设备几何尺寸的减小,栅极介质层日益变薄。当栅极介质层厚度接近几个纳米或更小时,常规的SiO2、Si3N4和SiON材料遭受电击穿而不再绝缘。为了在非常小的厚度(≤10纳米)下维持足够的击穿电压,高介电常数的材料可以用作栅极绝缘层。如本文中使用的术语“高介电常数材料”或者“高k材料”是指介电常数大于约4.1,或者大于二氧化硅的介电常数的材料。另外,高k材料也能用作半导体存储器片制造中深沟道式电容器的阻挡层。IC工业已经对许多高k材料进行了试验。最新并且最有前景的高k材料为金属氧化物,如Al2O3、HfO2、ZrO2及其混合物,和金属硅酸盐如HfSixOy、ZrSiO4及其混合物。在某些情况下,氮可以掺杂到这些金属氧化物和金属硅酸盐高k材料(如HfSiON或AlSiON)中以提高介电常数并抑制高k材料的结晶化。例如,高k材料如HfO2的结晶化引起高的漏电流和设备故障。因此,氮的掺杂能够明显提高设备的可靠性。在另一些情况下,沉积两种或多种上述材料的层状结构作为高k电介质层。例如,HfO2接着Al2O3的层状结构被用作深沟道式电容器的阻挡层。
高k材料如Al2O3、HfO2和ZrO2非常稳定并对大多数蚀刻反应具有抵抗性,这导致它们在其它材料的等离子体蚀刻中可用作蚀刻终止层和硬质掩模层。见例如,K.K.Shih等的“Hafnium dioxide etch-stop layer for phase-shifting masks”,J.Vac.Sci.Technol.B 11(6),第2130-2131页(1993年);J.A.Britten等的“Etch-stopcharacteristics of Sc2O3 and HfO2 films for multilayer dielectric grating applications”,J.Vac.Sci.Technol.A 14(5),第2973-2975页(1996年);J.Hong等的“Comparisionof Cl2 and F2 based chemistries for the inductively coupled plasma etching of NiMnSbthin films”,J.Vac.Sci.Technol.A 17(4),第1326-1330页(1999年);Visokay等的美国专利No.5,972,722;Moise等的美国专利No.6,211,035 B1;Moise等的美国专利申请公开文本US2001/0055852 A1;和Moise等的EP 1,001,459 A2。
这些高k材料一般由化学前体沉积而成,该前体在沉积室中通过化学气相沉积(CVD)法反应生成膜。在某些情况下,这些高k材料通过原子层沉积(ALD)法沉积在半导体基体(晶片)上,其中这些膜被控制沉积成几乎是单原子层。进行ALD的装置与过程在下列专利文献中公开:如Gadgil等的美国专利No.5,879,459;Doering等的美国专利No.6,174,377 B1;Doering等的美国专利申请公开文本US2001/0011526 A1;Doering等的美国专利No.6,387,185B2;Doering等的WO 00/40772和Gadgil等的WO 00/79019 A1。这些授予Genus公司的同族专利教导“原位等离子体中,允许实现维护清洗之间间隔非常长的时间(In situ plasma cleans allow the realization of a very long time betweenmaintenance cleaning)”(见例如,美国专利No.6,387,185 B2第7栏第27-28行)。然而,在上述同族专利公开的内容中,没有给出任何用于ALD室的等离子体清洗方法的细节。
等离子体源被用于增强原子层沉积法(PE-ALD)。例如,Pomarede等在WO02/43115 A2中教导利用等离子体源产生受激发的活性粒子,该活性粒子制备/活化基体表面以便于后续的ALD。Nguyen等在WO02/43114 A2中教导利用脉冲等离子体产生ALD过程代替交替变换前体化学流。此外,这些公开文本没有公开任何在加工晶片之后清洗ALD残留物的方法。
尽管上述高k材料是优异的栅极绝缘体,但是难以干法蚀刻这些膜用于图形转移(pattern transfer)。虽然在沉积过程令人满意地在基体(一般为硅晶片)上产生高k材料,但是形成这些膜的反应在沉积室内部的其它暴露表面上同样非生产性地发生。沉积残留物的累积导致粒子脱落、沉积均匀性下降和处理偏差。这些影响导致晶片缺陷和后续的设备故障。因此,必须定期清洗所有CVD室和具体的ALD室。
由于它们极端的化学惰性,很少人尝试对这些高k材料进行干法蚀刻。J.W.Lee等在“Electron cyclotron resonance plasma etching of oxides and SrS andZnS-based electroluminescent materials for flat panel displays”,J.Vac.Sci.Technol.A(3),第1944-1948页(1998年)中报导了一些蚀刻各种金属氧化物和硫化物的化学方法(chemistries)。该作者使用了非常强的等离子体条件(800W的微波源功率、高达450W的RF卡盘(chuck)偏置功率和1.5mTorr的室压)。这种工艺条件的结果是非常高的卡盘(chuck)偏置电压(高达535V)。高的卡盘偏置电压能大大地增强高能离子溅射而溅射导致蚀刻。该作者使用Cl2/Ar、BCl3/Ar和SF6/Ar混合物在严格的等离子体条件下蚀刻各种材料。Al2O3显示出最低的蚀刻速率。在大部分试验中,在相同的条件下,Al2O3蚀刻速率比ZnS的蚀刻速率小20%。该作者同样指出“相当类似的趋势是:用BCl3/Ar放电具有的绝对速率比用Cl2/Ar小~20%”。虽然该作者的方法可以用于平板显示器设备的各向异性的蚀刻,但是高功率等离子体溅射不能在接地的室表面上实现。因此,该作者的方法不能用于ALD室中清洗沉积残留物。
Williams等在美国专利6,238,582 B1中教导用一种活性离子束蚀刻(RIBE)法蚀刻触头材料(head materials)如Al2O3的薄膜。该专利权所有人使用CHF3/Ar等离子体作为离子源。经校准的活性离子束撞击在晶片基体上以蚀刻薄膜材料。这种经校准的离子束不能用于从ALD室清洗沉积残留物。
Lagendijk等在美国专利Nos.5,298,075和5,288,662中教导一种“硅的热氧化或炉管的清洗方法...通过将硅或管暴露于700℃以上的温度下,同时对硅或管吹包含氧气和氯代烃的载气,该氯代烃具有通式为CxHxClx,其中x为2、3或4”。选择该氯代烃在该温度下易于并且完全氧化(见摘要)。硅变成SiO2的氧化和在氧化物或扩散炉中的吸气金属污染(如Na和Fe)与蚀刻/清洗高k材料是完全不同的方法。
许多参考文献讨论:为了影响Al2O3的蚀刻速率,向等离子体中加入某些化合物。这些参考文献;W.G.M.Van Den Hoek的“The Etch Mechanism for Al2O3in Fluorine and Chlorine Based RF Dry Etch Plasmas”,Met.Res.Soc.Symp.Proc.第68卷(1986年),第71-78页,和Heiman等的“High Rate Reactive Ion Etchingof Al2O3 and Si”,J.Vac.Sci.Tech.17(3),5月/6月1980年,第731-34页,公开了对Ar等离子体分别添加氟基气体或者氯基气体,以提高Al2O3的蚀刻速率。然而,这些研究都是在活性离子蚀刻(RIE)条件下进行的,离子轰击/溅射诱导反应起着比化学蚀刻反应更重要的作用。像其它现有技术一样,这种严格的RIE条件不能应用于清洗接地的室表面。
由于缺乏公开除去高k电介质残留物的方法,ALD反应器一般通过机械装置(擦洗或冲洗)以从室和下游设备(例如泵头和排气集管)的内部表面上清除沉积残留物。然而,机械清洗方法费时、费力而且损坏被清洗的表面。
基于含氟等离子体的方法(也就是,干法清洗)通常用于从化学气相沉积(CVD)反应器的内部表面上除去硅化合物(如多晶硅、SiO2、SiON和Si3N4)和钨的残留物。在此,氟与上述残留物反应生成如SiF4或WF6的挥发性物质,这些挥发性物质可以在清洗过程中被抽出反应器。然而,单独用氟基化学物质不能有效除去上述高k介电材料。见例如J.Hong等的J.Vac.Sci.Technol.A第17卷,第1326-1330页(1999年);其中作者将涂覆于晶片的Al2O3暴露在基于NF3/Ar诱导耦合的等离子体中,并且发现:“在高源功率中可得到的较大浓度的原子F有助于较厚的氟基表面,导致纯粹的沉积而不是蚀刻”。在高k材料的情况下,形成的金属氟化物产物是非挥发的,因此,难以从反应器中除去。
这样,迫切需要一种从ALD室中化学干法清洗高k材料残留物而不必使室通风/打开的方法,这些残留物例如Al2O3、HfO2、ZrO2、HfSixOy、ZrSixOy及其混合物、包含诸如HfO2和Al2O3(也称为HfAlO)的高k材料的层压材料残留物、和诸如HfON、AlON的含氮高k材料以及在HfON和AlON(HfAlON)之间的层压材料的残留物。有效的化学干法清洗方法将明显增加生产率并降低基于ALD沉积法的所有权成本(CoO)。
所有这些参考文献在本文中全文引用以供参考。
发明概述
在本文中公开一种用于从基体上除去一种物质的方法以及用于实现该方法的混合物。一方面,提供一种用于从反应器表面上除去一种物质的方法,包括:提供一个包含反应器表面的反应器,其中:(a)该反应器表面至少部分涂覆一层该物质的膜;(b)该物质是选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐中的至少一种,或者是一种层压材料,其包括至少一层选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物或含氮的过渡金属硅酸盐的层;以及(c)该物质的介电常数比二氧化硅的介电常数大;该物质与反应剂反应形成挥发性产物,其中该反应剂包括选自由含卤素化合物、含硼化合物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的至少一种;以及从该反应器中除去该挥发性产物,从而从该表面上除去该物质。
另一方面,提供一种用于从反应室表面上除去一种物质的方法,包括:提供一个反应室,其中:该反应室至少一部分表面上至少部分涂覆该物质的膜,并且其中该物质的介电常数为4.1或更大,并且该物质是选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐中的至少一种,或者是一种层压材料,其包括至少一层选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物或含氮的过渡金属硅酸盐的层;向该反应室引入反应剂,其中该反应剂包括选自由含卤素化合物、含硼化合物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的至少一种;将反应剂暴露于一种或者多种能量源中足以使该物质与反应剂反应,并且形成挥发性产物;以及从该反应室中除去该挥发性产物。
本发明的另一方面,提供一种用于从反应器的至少一个表面上除去一种物质的设备,包括:至少一种选自由含卤素化合物、含硼化合物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的反应剂;和沉积有至少一种反应剂的非活性载体。
本发明的更进一步的一方面,提供一种用于从反应器的至少一个表面上除去一种物质的混合物,包括:至少一种选自由含卤素化合物、含硼化合物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的反应剂;和惰性稀释剂。
本发明的另一方面,提供一种用于从基体的至少一个表面上除去一种物质的方法,包括:提供一个基体,其中:该基体至少部分涂覆一层该物质的膜;该物质是选自过渡金属氧化物、过渡金属硅酸盐、除了Al2O3以外的13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐中的至少一种,或者是一种层压材料,其包括至少一层选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物或含氮的过渡金属硅酸盐的层;并且该物质的介电常数比二氧化硅的介电常数大;该物质与反应剂反应形成挥发性产物,其中该反应剂包括选自由含卤素化合物、含硼化合物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的至少一种;以及从该基体上除去该挥发性产物,从而从该基体上除去该物质。
本发明的另一方面,提供一种用于从反应器表面上除去一种物质的方法,包括:提供一个包含反应器表面的反应器,其中:(a)该反应器至少部分涂覆一层该物质的膜;(b)该物质是选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐中的至少一种,或者是一种层压材料,其包括至少一层选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐、含氮的13族金属氧化物或含氮的13族金属硅酸盐的层;以及(c)该物质的介电常数比二氧化硅的介电常数大;该物质与反应剂反应形成挥发性产物,该反应剂包括至少一种含氟化合物和选自由含氯化合物、含溴化合物或含碘化合物所组成之组中的至少一种,其中含氟化合物的量小于反应剂的量的50体积%;以及从该反应器中除去该挥发性产物,从而从该表面上除去该物质。
本发明的这些和其它方面从下列的详细说明中变得清楚。
附图简述
图1a和1b是对适合分别利用内部能量源或者外部能量源对室进行清洗的设备的说明。
图2是对利用等离子体作为能量源进行本发明方法的装置的说明。
图3是对各种高介电常数材料相对的BCl3等离子体蚀刻速率的图示说明,其中以Al2O3为标准。
图4是对利用加热作为能量源进行本发明方法的装置的说明。
图5是对在恒定的室压和BCl3流速下、蚀刻速率同较低电极/基架的设置温度的关系的说明。
图6是对在恒定的低电极设置温度和BCl3流速下、蚀刻速率随着室压的关系的说明。
图7a到7c说明本发明的一个实施方案中,在BCl3和NF3的混合物中,不同的NF3百分含量下,HfO2、HfSixOy和ZrO2各自的蚀刻速率。
发明详述
本方法有效用于干法蚀刻高k材料和干法清洗用于将高k材料沉积到晶片表面上的化学气相沉积(CVD)室(更具体地,ALD室)。从被蚀刻或清洗的表面上除去的材料,从固态非挥发材料转变成具有比沉积在表面的高k材料具有更高挥发性的材料,并因随后此能够通过例如真空泵除去。这样,本发明利用一种或多种反应剂使物质挥发从而从基体上除去该物质。与湿法蚀刻和湿法清洗方法不同,干法蚀刻和干法清洗方法不将基体浸入或者将基体暴露于液体化学溶液中。
在一些实施方案中,要被除去的物质可以是过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物或者13族金属硅酸盐(根据IUPAC无机化学命名法介绍,1990,13族金属包括Al、Ga、In和Ti,并且过渡金属位于3-12族)。该物质可以是具有介电常数比二氧化硅介电常数更大(也就是,大于约4.1)、或者大于5或者至少为7的高k材料。优选地,该物质至少选自Al2O3、HfO2、ZrO2、HfSixOy、ZrSixOy及其混合物所组成之组中的一种。本领域普通技术人员可以理解:公式HfSixOy(和公式ZrSixOy)表示HfO2(ZrO2)和SiO2的混合物,其中x大于0并且y是2x+2。
在本发明的另外一些实施方案中,该物质可以是包括至少选自由下列材料组成之组中的一种材料层的一种层压材料:过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐、含氮的13族金属氧化物或含氮的13族金属硅酸盐。该层压材料优选的是至少上述材料的一种和任选其它材料诸如绝缘材料相互交替。例如,该层压材料可以由HfO2和Al2O3的交替层组成。层压材料也可以由第一材料的一些层和第二材料的一些层组成,或者,可选的是,由至少一种第一材料的外层和至少一种第二材料的内层组成。
在本发明进一步的实施方案中,该物质可以是含氮物质,如含氮的过渡金属氧化物、含氮的过渡金属硅酸盐、含氮的13族金属氧化物或含氮的13族金属硅酸盐。这种物质的实例包括HfAlON。
如上所述,要被除去的物质与反应剂反应形成能够容易从基体上除去的挥发性产物。在一些优选的实施方案中,该反应剂可以暴露于一种或者多种足够的能量源中以形成活性粒子,如离子、自由基、受激发的中子等等,这些活性粒子与该物质反应并且形成挥发性产物。合适的反应剂的实例包括:含卤素化合物,如氯化物、溴化物、氟化物或碘化物、含硼化物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物、有机氯代硅烷化合物或其混合物。尽管本文中使用的反应剂有些时候描述为“气态的”,但是应该理解为:该化学试剂可以作为一种气体直接向反应器输送,作为一种蒸发的液体、升华的固体和/或通过惰性稀释气体向反应器输送。
该反应剂能通过许多方法向反应器输送,例如常规的气体钢瓶、安全输送系统、真空输送系统、在使用现场产生该反应剂的基于固体或者液体的发生器。在本发明的一个实施方案中,能向非活性液体或者气体稀释剂中加入至少一种反应剂并且用如喷溅或其它方法应用到具有要被除去的物质的基体上。该反应剂暴露在一种或者多种能量源下能与该物质反应形成挥发性产物。在另外一个实施方案中,例如室的清洗的应用,这种(这些)反应剂能沉积到引入反应室的非活性载体上。该非活性载体的材料是一种在暴露于一种能量源之前或过程中均不与该活性剂反应的物质。在一些优选的实施方案中,该非活性载体具有许多孔。在暴露于一种或者多种能量源下,这种(这些)反应剂能被释放出来与要被除去的物质反应形成挥发性产物。
由于这些金属的氯化物(如AlCl3、HfCl4、ZrCl4和SiCl4)更具有挥发性,优选的是可以将这些高k材料物质转变成氯化物。这种转变通过使要被除去的物质与一种含氯的反应剂接触而实现。含氯反应剂的实施包括BCl3、COCl2、HCl、Cl2、ClF3和NFzCl3-z,其中,z是从0到2的整数,氯碳化合物和氯代烃(例如CxHyClz其中x是从1到6的数字,y是0到13的数字,和z是1到14的数字)。同时也包含氧-吸气作用的含氯反应剂,如BCl3、COCl2、氯碳化合物和氯代烃,在一些实施方案中可能是合适的,因为在这些分子中的氧-吸气成分(B、CO、C或者H)使氧从高k材料中释放出来,并且因此增强金属氧化物和金属硅酸盐向金属氯化物转变。在含氯和氧-吸气的气体中,BCl3是最优选的一个。在应用COCl2作为反应剂的实施方案中,COCl2可以以制备形式提供,或者通过CO和Cl2的原位反应形成。在一些实施方案中,该反应剂可以包含含氯气体和含氟气体(例如,BCl3和BF3),或者含有氟和氯两者的气体,如ClF3和NFzCl3-z,其中z是0到2的整数。
在可选的实施方案中,一种或者多种含氯反应剂可以与一种或多种其它一种或多种含卤素化合物例如一种或多种含氟反应剂结合使用以帮助蚀刻并且除去高k材料。尽管过渡金属的氟化物如HfF4和ZrF4的挥发性比相应的氯化物低,令人惊奇和令人意想不到的是向含氯反应剂中加入至少一种含氟反应剂可以提高高介电常数过渡金属氧化物的蚀刻速率。在这些实施方案中,该气体混合物可包括至少一种小于50体积%的含氟反应剂、至少一种含氯反应剂、和任选的惰性稀释气体。示意性的气体混合物可包括至少一种从约1体积%到小于50体积%的含氟化合物和至少一种从约50体积%到约99体积%的含氯化合物。在引入反应器之前或之后,这种(这些)含氟反应剂可以与这种(这些)含氯反应剂结合使用。合适的含氟反应剂的实例包括NF3(三氟化氮)、ClF3(三氟化氯)、ClF(氟化氯)、SF6(六氟化硫)、全氟碳化物(perfluorocarbons)如CF4和C2F6等、氢氟碳化物(hydrofluorocarbons)如CHF3和C3F7H等、氧氟碳化物如C4F8O(全氟四氢呋喃)等、次氟石(hypofluorites)如CF3-OF(氟氧三氟甲烷(FTM))和FO-CF2-OF(双-二氟氧-二氟甲烷(BDM))等、氟过氧化物如CF3-O-O-CF3、F-O-O-F等、氟三氧化物如CF3-O-O-O-CF3等、COF2(碳酰氟)、NOF、NFxCl3-x,其中x是从1到2的整数,和F2等。示意性的气态混合物包括,但不局限于:BCl3和NF3;BCl3和F2;BCl3和ClF3;BCl3和NFxCl3-x,其中x是从1到2的整数。其它含Cl-、Br-或者I-的化合物,例如本文中公开的任何一种化合物,与含F-化合物同样可具有这种增效作用。
除了本文中描述的反应剂以外,同样可以加入惰性稀释气体如氮气、CO、氦气、氖气、氩气、氪气和氙气等。惰性稀释气体可调整等离子体特性和清洗方法以更好地适合一些具体的应用。惰性气体的浓度范围从0到99%。
本发明的方法有效用于蚀刻基体表面的物质。因此,用于本发明的蚀刻实施方案的合适基体包括,例如半导体晶片等。图3表示本发明一个实施方案中利用BCl3作为反应剂时,氧化铪、氧化铝和氧化锆相对蚀刻速率的比较。
本发明同样适用于从基体如CVD和/或ALD法的反应室表面上清洗物质。本发明特别适用于除去沉积在反应室暴露表面例如一般反应室的工件平台、接地侧壁和/或喷头(showerhead)上的高k物质。
一种或者多种反应剂暴露于一种或者多种足够的能量源中以产生活性粒子,至少部分该活性粒子与该物质和/或含硼副产物反应,并形成挥发性物质。用于暴露步骤的能量源可包括,但不局限于,α-粒子、β-粒子、γ-射线、x-射线、高能电子、能量电子束源、紫外线(波长范围在10到400纳米)、可见光(波长范围在400到750纳米),红外线(波长范围在750到105纳米)、微波(频率>109Hz)、无线电频率的波(频率>106Hz)能量、热、RF、DC、电弧或电晕放电、声波、超声波或兆赫声波(megasonic)能量及其混合。
在一些实施方案中,热或者等离子体激活和/或促进作用能明显影响高介电常数材料干法蚀刻和干法清洗的功效。对于热激活,基体能加热高达600℃、或高达400℃、或高达300℃、压力范围通常为10mTorr到760Torr、或1Torr到760Torr。
在可选的实施方案中,这种(这些)反应剂在原位或者在包含要被除去的物质的反应器内通过等离子体激活。对于原位等离子体激活,一种方案能产生具有13.56MHz RF电源、具有RF功率至少为0.2W/cm2、或者至少为0.5W/cm2、或者1W/cm2的等离子体。一种方案同样能在RF频率低于13.56MHz下操作原位等离子体以增强接地ALD室壁的辅助清洗的离子。操作压力范围通常为2.5mTorr到100Torr、或者5mTorr到50Torr、或者10mTorr到20Torr。可任选地,一种方案同样能结合热和等离子体的促进作用以便更有效地清洗ALD室壁。
一种方案也能使用外部等离子体源代替原位等离子体以产生更多活性粒子。外部等离子体源可以通过RF或者微波源产生。另外,等离子体产生的活性粒子和高k-物质之间的反应可以通过将ALD反应器部件加热到高达600℃、或高达400℃、或高达300℃的高温来激活/增强。
清洗方法的激活和促进作用的其它方法也可以应用。例如,一种方法能使用光子诱导化学反应产生活性粒子并且增强蚀刻/清洗反应。
下列表显示用于使要被除去的物质从基体上挥发的各种反应的热化学计算。在这些表中,Keq是所写反应的平衡常数;因此该值越大,越有利于该反应进行。
表1.Al2O3与BCl3反应:
温度(℃) | ΔH(Kcal) | ΔS(Cal) | ΔG(Kcal) | Keq |
0.000 | 9.561 | 12.274 | 6.208 | 1.078E-005 |
100.000 | 9.547 | 12.249 | 4.976 | 1.217E-003 |
200.000 | 9.424 | 11.959 | 3.766 | 1.822E-002 |
300.000 | 9.299 | 11.719 | 2.582 | 1.036E-001 |
400.000 | 9.196 | 11.553 | 1.419 | 3.461E-001 |
500.000 | 15.123 | 19.739 | -0.138 | 1.094E+000 |
600.000 | 15.476 | 20.169 | -2.135 | 3.422E+000 |
700.000 | 15.748 | 20.464 | -4.167 | 8.629E+000 |
800.000 | 15.951 | 20.664 | -6.224 | 1.852E+001 |
900.000 | 16.097 | 20.794 | -8.298 | 3.515E+001 |
1000.000 | 16.190 | 20.871 | -10.381 | 6.056E+001 |
表2.HfO2与BCl3反应:
温度(℃) | ΔH(Kcal) | ΔS(Cal) | ΔG(Kcal) | Keq |
0.000 | -17.999 | -12.638 | -14.547 | 4.367E+011 |
25.000 | -18.003 | -12.653 | -14.231 | 2.707E+010 |
50.000 | -18.025 | -12.721 | -13.914 | 2.576E+009 |
75.000 | -18.057 | -12.817 | -13.595 | 3.426E+008 |
100.000 | -18.096 | -12.924 | -13.273 | 5.950E+007 |
125.000 | -18.138 | -13.034 | -12.948 | 1.283E+007 |
150.000 | -18.182 | -13.141 | -12.621 | 3.305E+006 |
175.000 | -18.226 | -13.242 | -12.291 | 9.879E+005 |
200.000 | -18.268 | -13.335 | -11.959 | 3.346E+005 |
表3.ZrO2与BCl3反应:
温度(℃) | ΔH(Kcal) | ΔS(Cal) | ΔG(Kcal) | Keq |
0.000 | -29.845 | -12.107 | -26.538 | 1.717E+021 |
25.000 | -29.825 | -12.038 | -26.236 | 1.710E+019 |
50.000 | -29.822 | -12.026 | -25.935 | 3.481E+017 |
75.000 | -29.828 | -12.047 | -25.634 | 1.239E+016 |
100.000 | -29.842 | -12.083 | -25.333 | 6.891E+014 |
125.000 | -29.858 | -12.126 | -25.030 | 5.502E+013 |
150.000 | -29.875 | -12.168 | -24.726 | 5.913E+012 |
175.000 | -29.892 | -12.207 | -24.422 | 8.142E+011 |
200.000 | -29.908 | -12.240 | -24.116 | 1.381E+011 |
表4.HfO2与COCl2反应:
温度(℃) | ΔH(Kcal) | ΔS(Cal) | ΔG(Kcal) | Keq |
0.000 | -20.643 | 41.960 | -32.105 | 4.890E+025 |
25.000 | -20.649 | 41.940 | -33.153 | 2.014E+024 |
50.000 | -20.668 | 41.878 | -34.201 | 1.357E+023 |
75.000 | -20.699 | 41.787 | -35.247 | 1.343E+022 |
100.000 | -20.739 | 41.677 | -36.290 | 1.806E+021 |
125.000 | -20.786 | 41.554 | -37.331 | 3.112E+020 |
150.000 | -20.840 | 41.423 | -38.368 | 6.578E+019 |
175.000 | -20.900 | 41.285 | -39.402 | 1.647E+019 |
200.000 | -20.965 | 41.144 | -40.432 | 4.757E+018 |
表5.ZrO2与COCl2反应:
温度(℃) | ΔH(Kcal) | ΔS(Cal) | ΔG(Kcal) | Keq |
0.000 | -28.540 | 42.313 | -40.098 | 1.218E+032 |
25.000 | -28.530 | 42.350 | -41.157 | 1.483E+030 |
50.000 | -28.533 | 42.341 | -42.215 | 3.573E+028 |
75.000 | -28.547 | 42.300 | -43.273 | 1.469E+027 |
100.000 | -28.569 | 42.238 | -44.330 | 9.244E+025 |
125.000 | -28.599 | 42.160 | -45.385 | 8.215E+024 |
150.000 | -28.636 | 42.071 | -46.438 | 9.694E+023 |
175.000 | -28.678 | 41.975 | -47.489 | 1.448E+023 |
200.000 | -28.724 | 41.873 | -48.537 | 2.638E+022 |
表6.ZrSiO4与BCl3反应:
温度(℃) | ΔH(Kcal) | ΔS(Cal) | ΔG(Kcal) | Keq |
0.000 | -31.065 | -21.096 | -25.303 | 1.764E+020 |
25.000 | -31.003 | -20.879 | -24.778 | 1.460E+018 |
50.000 | -30.962 | -20.747 | -24.258 | 2.554E+016 |
75.000 | -30.935 | -20.665 | -23.740 | 8.020E+014 |
100.000 | -30.916 | -20.613 | -23.224 | 4.013E+013 |
125.000 | -30.902 | -20.577 | -22.710 | 2.928E+012 |
150.000 | -30.891 | -20.549 | -22.196 | 2.914E+011 |
175.000 | -30.879 | -20.523 | -21.682 | 3.755E+010 |
200.000 | -30.867 | -20.496 | -21.169 | 6.012E+009 |
225.000 | -30.852 | -20.466 | -20.657 | 1.158E+009 |
250.000 | -30.835 | -20.432 | -20.146 | 2.612E+008 |
275.000 | -30.814 | -20.393 | -19.636 | 6.754E+007 |
300.000 | -30.790 | -20.349 | -19.127 | 1.967E+007 |
325.000 | -30.761 | -20.300 | -18.618 | 6.358E+006 |
350.000 | -30.729 | -20.247 | -18.112 | 2.252E+006 |
375.000 | -30.692 | -20.190 | -17.606 | 8.652E+005 |
400.000 | -30.652 | -20.130 | -17.102 | 3.572E+005 |
425.000 | -30.608 | -20.066 | -16.600 | 1.573E+005 |
450.000 | -22.891 | -9.391 | -16.100 | 7.349E+004 |
475.000 | -22.663 | -9.081 | -15.869 | 4.327E+004 |
500.000 | -22.443 | -8.791 | -15.646 | 2.649E+004 |
表7.ZrSiO4与BF3和BCl3反应:
温度(℃) | ΔH(Kcal) | ΔS(Cal) | ΔG(Kcal) | Keq |
0.000 | -25.010 | -21.014 | -19.270 | 2.627E+015 |
25.000 | -24.951 | -20.807 | -18.748 | 5.540E+013 |
50.000 | -24.912 | -20.681 | -18.229 | 2.136E+012 |
75.000 | -24.885 | -20.600 | -17.713 | 1.319E+011 |
100.000 | -24.865 | -20.545 | -17.199 | 1.186E+010 |
125.000 | -24.849 | -20.502 | -16.686 | 1.445E+009 |
150.000 | -24.833 | -20.463 | -16.174 | 2.260E+008 |
175.000 | -24.816 | -20.423 | -15.663 | 4.354E+007 |
200.000 | -24.796 | -20.380 | -15.153 | 9.992E+006 |
225.000 | -24.772 | -20.332 | -14.644 | 2.661E+006 |
250.000 | -24.745 | -20.278 | -14.136 | 8.053E+005 |
275.000 | -24.712 | -20.218 | -13.630 | 2.721E+005 |
300.000 | -24.675 | -20.152 | -13.125 | 1.012E+005 |
325.000 | -24.633 | -20.080 | -12.622 | 4.095E+004 |
350.000 | -24.586 | -20.003 | -12.121 | 1.784E+004 |
375.000 | -24.535 | -19.922 | -11.622 | 8.303E+003 |
400.000 | -24.478 | -19.837 | -11.125 | 4.095E+003 |
425.000 | -24.418 | -19.749 | -10.630 | 2.128E+003 |
450.000 | -16.684 | -9.050 | -10.139 | 1.160E+003 |
475.000 | -16.439 | -8.717 | -9.917 | 7.894E+002 |
500.000 | -16.201 | -8.405 | -9.703 | 5.535E+002 |
表1-7显示:BCl3和COCl2能用作用于高k材料的干法蚀刻和清洗的蚀刻剂。BCl3(三氯化硼)在室温下是液化气体并且易于向ALD反应器中输送用于室的清洗。COCl2(光气)优选在原位蚀刻或沉积反应器中通过将气态一氧化碳和氯气反应在外部能量源(例如等离子体)的帮助下形成光气,如下:
在本发明的另一个实施方案中,如对硼残留物敏感的应用中,氯碳化合物(CC)和氢氯碳化合物(HCC)可用作反应剂,因为这些化合物可包含氯以及氧吸气成分(C或H)。CC和HCC化合物的通式是CxHyClz,其中x是从1到6,y是从0到13,和z是从1到14。合适的CC和HCC化合物的实例包括,但是不局限于,反式-二氯乙烯C2H2Cl2(a.k.a.Trans-LC)、顺式-二氯乙烯、1,1-二氯乙烯、1,1,1-三氯乙烷(C2H3Cl3)、或者四氯乙烯C2Cl4、C4H4Cl4、CHCl3和CCl4。一些CC和HCC化合物可与高k金属氧化物反应而无需添加氧气。例如在一些实施方案中,四氯乙烯(C2Cl4)能与Al2O3反应形成挥发性的副产物,如下:
表8说明:在温度高于1000℃时,热力学上有利于反应进行。
表8.反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Cal) | ΔG(Kcal) | Keq |
0.000 | 46.723 | 157.382 | 3.734 | 1.028E-003 |
100.000 | 46.760 | 157.552 | -12.031 | 1.114E+007 |
200.000 | 46.314 | 156.508 | -27.738 | 6.509E+012 |
300.000 | 45.599 | 155.144 | -43.322 | 3.317E+016 |
400.000 | 44.704 | 153.709 | -58.765 | 1.204E+019 |
500.000 | 43.674 | 152.284 | -74.064 | 8.667E+020 |
600.000 | 42.541 | 150.907 | -89.223 | 2.160E+022 |
700.000 | 41.340 | 149.605 | -104.248 | 2.594E+023 |
800.000 | 40.087 | 148.380 | -119.147 | 1.848E+024 |
900.000 | 38.793 | 147.228 | -133.927 | 8.948E+024 |
1000.000 | 37.467 | 146.143 | -148.595 | 3.236E+025 |
以上热化学计算说明这些化学反应的限制条件。除了该限制条件的反应产物如B2O3外,在高k材料和BCl3之间的反应中,也能形成中间反应产物如硼的氯氧化物(BOCl)。中间反应产物如BOCl具有高的挥发性,因此可以进一步增强高k材料的除去。
其它CC和HCC化合物可能需要添加氧气以释放氯而不形成碳残留物(烟灰)。例如,反式-二氯乙烯(C2H2Cl2)(a.k.a.Trnas-LC)能与Al2O3以O2∶C2H2Cl2为2∶1的摩尔比进行反应:
表9显示在0到100℃之间,热力学上有利于这种反应进行。
表9.反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -616.464 | 77.981 | -637.764 | 1.000E+308 |
100.000 | -616.428 | 78.113 | -645.576 | 1.000E+308 |
200.000 | -616.656 | 77.585 | -653.365 | 6.559E+301 |
300.000 | -617.145 | 76.654 | -661.079 | 1.257E+252 |
400.000 | -617.872 | 75.490 | -668.688 | 1.316E+217 |
500.000 | -618.811 | 74.193 | -676.173 | 1.422E+191 |
600.000 | -619.918 | 72.848 | -683.525 | 1.261E+171 |
700.000 | -621.140 | 71.523 | -690.743 | 1.380E+155 |
800.000 | -622.440 | 70.253 | -697.832 | 1.340E+142 |
900.000 | -623.784 | 69.056 | -704.796 | 2.040E+131 |
1000.000 | -625.138 | 67.947 | -711.646 | 1.485E+122 |
在以上反应中不希望过量的氧气,因为过量的O2能使金属氯化物变回金属氧化物。防止过量氧气的更好的方法是在贫氧条件进行该反应将碳部分氧化成CO。例如,O2∶C2H2Cl2的摩尔比为2∶1能导致形成CO和AlCl3作为副产品:
如表10所示,热力学上也有利于这种部分氧化反应进行。
表10.反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -210.973 | 200.961 | -265.865 | 5.480E+212 |
100.000 | -210.103 | 203.760 | -286.136 | 3.984E+167 |
200.000 | -210.055 | 203.905 | -306.532 | 3.982E+141 |
300.000 | -210.561 | 202.949 | -326.881 | 4.512E+124 |
400.000 | -211.485 | 201.470 | -347.105 | 5.046E+112 |
500.000 | -212.749 | 199.725 | -367.166 | 6.267E+103 |
600.000 | -214.276 | 197.870 | -387.046 | 7.688E+096 |
700.000 | -215.992 | 196.011 | -406.740 | 2.255E+091 |
800.000 | -217.847 | 194.197 | -426.250 | 6.518E+086 |
900.000 | -219.797 | 192.461 | -445.582 | 1.037E+083 |
1000.000 | -221.800 | 190.822 | -464.745 | 6.097E+079 |
可加入氯气(Cl2)代替氧气,以防止碳烟灰的形成。例如Cl2∶C2H2Cl2的摩尔比为2∶1允许以下反应:
同样:Cl2∶C2H2Cl2的摩尔比为4∶1允许以下反应:
如图11和12所示,在热力学上均有利于这两个反应进行。更加希望利用氯气控制烟灰的形成,因为过量的氯气有助于金属氧化物的氯化。
表11.反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | 10.291 | 101.403 | -17.407 | 8.479E+013 |
100.000 | 10.619 | 102.465 | -27.616 | 1.498E+016 |
200.000 | 10.554 | 102.326 | -37.861 | 3.088E+017 |
300.000 | 10.225 | 101.701 | -48.065 | 2.135E+018 |
400.000 | 9.697 | 100.855 | -58.194 | 7.859E+018 |
500.000 | 9.005 | 99.900 | -68.233 | 1.946E+019 |
600.000 | 8.185 | 98.904 | -78.173 | 3.701E+019 |
700.000 | 7.277 | 97.920 | -88.014 | 5.858E+019 |
800.000 | 6.303 | 96.967 | -97.758 | 8.134E+019 |
900.000 | 5.280 | 96.056 | -107.409 | 1.026E+020 |
1000.000 | 4.224 | 95.193 | -116.971 | 1.205E+020 |
表12.反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -44.076 | 94.797 | -69.970 | 9.734E+055 |
100.000 | -43.990 | 95.096 | -79.475 | 3.562E+046 |
200.000 | -44.229 | 94.542 | -88.962 | 1.245E+041 |
300.000 | -44.715 | 93.617 | -98.372 | 3.262E+037 |
400.000 | -45.399 | 92.520 | -107.680 | 9.182E+034 |
500.000 | -46.255 | 91.338 | -116.873 | 1.096E+033 |
600.000 | -47.248 | 90.132 | -125.946 | 3.365E+031 |
700.000 | -48.328 | 88.961 | -134.900 | 1.988E+030 |
800.000 | -49.475 | 87.840 | -143.740 | 1.886E+029 |
900.000 | -50.671 | 86.775 | -152.470 | 2.550E+028 |
1000.000 | -51.901 | 85.769 | -161.097 | 4.532E+027 |
除了氯化物以外,这些高k材料的溴化物和碘化物,如AlBr3、AlI3、HfBr4、HfI4、ZrBr4和ZrI4具有的挥发性与相应的氯化物相似。因此,一些溴化物和碘化物也能用来蚀刻/清洗这些高k材料。溴和碘离子比氯离子重,因此溴和碘离子能提供更有效的溅射以加强与高k材料的等离子体辅助蚀刻/清洗反应。溴和碘原子具有的表面粘附系数比氯原子更高。更高的粘附系数导致溴和碘原子/离子被吸收到高k材料表面上的概率更高,因此强化溴化/碘化反应。所希望的溴和碘化合物在分子中可包含氧-吸气功能。合适的含溴和碘的化合物的实例包括三溴化硼(BBr3)、三碘化硼(BI3)、溴化氢(HBr)、碘化氢(HI)、溴碳化合物如CBr4、氢溴碳化合物如反式-二溴乙烯(C2H2Br2)、碘碳化合物如CI4和氢碘碳化合物如反式-二碘乙烯(C2H2I2)等。对于HfO2,溴和碘化学性质明显比相应的氯化学性质更有利,如表13-15所示。
表13反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -17.999 | -12.638 | -14.547 | 4.367E+011 |
100.000 | -18.096 | -12.924 | -13.273 | 5.950E+007 |
200.000 | -18.268 | -13.335 | -11.959 | 3.346E+005 |
300.000 | -18.413 | -13.614 | -10.611 | 1.113E+004 |
400.000 | -18.507 | -13.765 | -9.241 | 1.001E+003 |
500.000 | -12.540 | -5.525 | -8.268 | 2.175E+002 |
600.000 | -12.126 | -5.020 | -7.743 | 8.672E+001 |
700.000 | -11.790 | -4.655 | -7.260 | 4.271E+001 |
800.000 | -11.524 | -4.395 | -6.808 | 2.436E+001 |
900.000 | -11.321 | -4.213 | -6.378 | 1.543E+001 |
1000.000 | -11.176 | -4.094 | -5.963 | 1.056E+001 |
表14反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -53.997 | -10.093 | -51.241 | 1.003E+041 |
100.000 | -54.122 | -10.459 | -50.219 | 2.602E+029 |
200.000 | -54.371 | -11.049 | -49.143 | 5.026E+022 |
300.000 | -54.601 | -11.492 | -48.014 | 2.042E+018 |
400.000 | -54.773 | -11.770 | -46.850 | 1.629E+015 |
500.000 | -48.872 | -3.621 | -46.073 | 1.058E+013 |
600.000 | -48.508 | -3.178 | -45.734 | 2.806E+011 |
700.000 | -48.207 | -2.851 | -45.433 | 1.600E+010 |
800.000 | -47.960 | -2.609 | -45.161 | 1.577E+009 |
900.000 | -47.761 | -2.431 | -44.909 | 2.328E+008 |
1000.000 | -47.606 | -2.304 | -44.673 | 4.669E+007 |
表15反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -58.042 | -15.921 | -53.694 | 9.212E+042 |
100.000 | -58.342 | -16.842 | -52.057 | 3.104E+030 |
200.000 | -58.692 | -17.675 | -50.329 | 1.775E+023 |
300.000 | -58.991 | -18.250 | -48.531 | 3.214E+018 |
400.000 | -59.216 | -18.614 | -46.686 | 1.442E+015 |
500.000 | -53.362 | -10.530 | -45.221 | 6.080E+012 |
600.000 | -53.042 | -10.139 | -44.189 | 1.152E+011 |
700.000 | -52.784 | -9.859 | -43.190 | 5.015E+009 |
800.000 | -52.581 | -9.660 | -42.214 | 3.961E+008 |
900.000 | -52.429 | -9.524 | -41.256 | 4.856E+007 |
1000.000 | -52.324 | -9.438 | -40.308 | 8.315E+006 |
同样,溴和碘的化学性质在热力学上也有利于与Al2O3和ZrO2反应,如表16-18所示。
表16反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -2.212 | 12.687 | -5.678 | 3.493E+004 |
100.000 | -2.279 | 12.503 | -6.944 | 1.168E+004 |
200.000 | -2.482 | 12.022 | -8.170 | 5.945E+003 |
300.000 | -2.685 | 11.632 | -9.352 | 3.683E+003 |
400.000 | -2.852 | 11.362 | -10.501 | 2.567E+003 |
500.000 | 3.023 | 19.476 | -12.035 | 2.525E+003 |
600.000 | 3.337 | 19.858 | -14.003 | 3.200E+003 |
700.000 | 3.579 | 20.122 | -16.003 | 3.928E+003 |
800.000 | 3.764 | 20.303 | -18.024 | 4.688E+003 |
900.000 | 3.897 | 20.422 | -20.061 | 5.464E+003 |
1000.000 | 3.985 | 20.494 | -22.107 | 6.241E+003 |
表17反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -44.096 | -11.573 | -40.935 | 5.691E+032 |
100.000 | -44.194 | -11.861 | -39.768 | 1.965E+023 |
200.000 | -44.363 | -12.264 | -38.560 | 6.495E+017 |
300.000 | -44.489 | -12.509 | -37.320 | 1.706E+014 |
400.000 | -44.545 | -12.600 | -36.064 | 5.125E+011 |
500.000 | -38.522 | -4.282 | -35.212 | 9.000E+009 |
600.000 | -38.033 | -3.686 | -34.815 | 5.186E+008 |
700.000 | -37.604 | -3.220 | -34.470 | 5.520E+007 |
800.000 | -37.229 | -2.853 | -34.167 | 9.096E+006 |
900.000 | -36.902 | -2.561 | -33.897 | 2.067E+006 |
1000.000 | -36.619 | -2.330 | -33.653 | 5.989E+005 |
表18反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -74.430 | -11.695 | -71.235 | 1.001E+057 |
100.000 | -74.587 | -12.171 | -70.045 | 1.067E+041 |
200.000 | -74.805 | -12.689 | -68.801 | 6053E+031 |
300.000 | -74.972 | -13.013 | -67.514 | 5.573E+025 |
400.000 | -75.065 | -13.163 | -66.204 | 3.134E+021 |
500.000 | -69.074 | -4.891 | -65.293 | 2.873E+018 |
600.000 | -68.614 | -4.330 | -64.833 | 1.695E+016 |
700.000 | -68.212 | -3.894 | -64.423 | 2.947E+014 |
800.000 | -67.861 | -3.549 | -64.052 | 1.110E+013 |
900.000 | -67.555 | -3.276 | -63.711 | 7.411E+011 |
1000.000 | -67.291 | -3.061 | -63.394 | 7.642E+010 |
在一些实施方案中,反应剂可以包括螯合物。在本文中使用的一种螯合物,是含有至少能与缺电子(例如,路易斯酸)金属原子如但不局限于Zr、Al或Hf相互作用的两对富余电子(例如,路易斯碱)对。然而,不要求多个电子对同时与金属依次相互作用。同样,螯合物也可以作为该碱对的共轭酸输送到反应室中。这些化合物的实例见美国专利No.3,634,477。螯合物进一步的实例包括含氧卤碳化合物,例如氯乙酸、草酰氯等,能与金属氧化物和金属氯化物形成挥发性副产品的已知的螯合物或试剂。一些示意性的螯合物可能具有公式CαHβXγYδOε,其中X和Y是卤素原子F、Cl、Br和I的一种;α是从1到6的数字,β是0到13的数字,γ+δ的总和是1到14的数字,并且ε是1到6的数字。这些化合物的实例包括六氟戊二酮(CCl3C(O)CH2C(O)CCl3)(a.ka.Hhfac)、六氯戊二酮(CCl3C(O)CH2C(O)CCl3)、六氟丙酮(CF3C(O)CF3)和六氯丙酮(CCl3C(O)CCl3)。例如六氟戊二酮(a.k.a.Hhfac)(CF3C(O)CH2C(O)CF3,或者C5H2O2F6)是能与很多种金属氧化物和/或氯化物形成挥发性有机金属化合物M(hfac)x的常用的螯合剂,其中M是金属离子,如Al3+、Hf4+和Zr4+等。这种螯合性能能用来增强高k材料的蚀刻和室清洗。另外,这些分子可以用作氧清除剂以增强高k材料的氯化。例如,一种为:
在本发明的一些实施方案中,Hhfac的氯类似物,六氯戊二酮(CCl3C(O)CH2C(O)CCl3)用作反应剂更有利,因为它既是氧清除剂也是氯化剂。这些反应同样能通过热和/或等离子体激活帮助进行。例如,
和
为了防止金属氯化物的氧化,可以用氯气代替氧气:
在一些实施方案中,氯硅烷、氢氯硅烷和有机氯硅烷也是蚀刻/清洗高k材料的有效试剂。部分由于高度稳定的SiO2副产物,这些化合物可能既是非常有效的氧清除剂又是氯化剂。暴露于热或等离子体源中,这些化合物与BCl3一样有效地将高k材料转变成挥发性的氯化物而无硼残留物污染的潜在问题。在一些实施方案中,氯硅烷、氢氯硅烷和有机氯硅烷的通式为SipClqRsHt,其中1≤p≤3、1≤q≤{2p+2-(s+t)},并且s和t的取值受0≤(s+t)≤(2p+1)的约束并且R是具有1-8个碳原子的有机基,包括:烃基(例如甲基、乙基、苯基、p-甲苯基)、卤烃基(例如三氯甲基、三氟甲基、五氟乙基)、卤代烃基(例如氯甲基、2,4-二氟苯基)、氧化烃基(例如甲氧基、羟乙基、氯甲氧基)和氮取代烃基部分(例如氨甲基、二甲基氨甲基、吡啶基)。示意性的反应包括:
热力学计算显示,在室温或者适度的高温下有利于以上反应进行,如表19-23所示。
表19反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | 32.037 | 34.471 | 22.621 | 7.927E-019 |
100.000 | 31.880 | 33.990 | 19.196 | 5.703E-012 |
200.000 | 31.647 | 33.439 | 15.825 | 4.895E-008 |
300.000 | 31.400 | 32.967 | 12.506 | 1.702E-005 |
400.000 | 31.178 | 32.608 | 9.228 | 1.009E-003 |
500.000 | 31.009 | 32.373 | 5.980 | 2.039E-002 |
600.000 | 31.097 | 32.475 | 2.742 | 2.059E-001 |
700.000 | 30.702 | 32.047 | -0.484 | 1.285E+000 |
800.000 | 30.291 | 31.645 | -3.669 | 5.587E+000 |
900.000 | 30.612 | 31.957 | -6.878 | 1.912E+001 |
1000.000 | 30.204 | 31.623 | -10.057 | 5.327E+001 |
表20反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | 2.985 | 6.373 | 1.244 | 1.010E-001 |
100.000 | 2.825 | 5.878 | 0.631 | 4.267E-001 |
200.000 | 2.636 | 5.430 | 0.067 | 9.314E-001 |
300.000 | 2.459 | 5.089 | -0.458 | 1.495E+000 |
400000 | 2.317 | 4.860 | -0.955 | 2.042E+000 |
500.000 | 2.230 | 4.739 | -1.434 | 2.543E+000 |
600.000 | 2.330 | 4.857 | -1.911 | 3.009E+000 |
700.000 | 2.110 | 4.618 | -2.385 | 3.432E+000 |
800.000 | 1.877 | 4.391 | -2.835 | 3.779E+000 |
900.000 | 2.130 | 4.633 | -3.306 | 4.129E+000 |
1000.000 | 1.892 | 4.439 | -3.759 | 4.419E+000 |
表21反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -4.912 | 6.726 | -6.749 | 2.516E+005 |
100.000 | -5.006 | 6.439 | -7.408 | 2.185E+004 |
200.000 | -5.123 | 6.160 | -8.038 | 5.164E+003 |
300.000 | -5.226 | 5.963 | -8.643 | 1.977E+003 |
400.000 | -5.288 | 5.861 | -9.233 | 9.955E+002 |
500.000 | -5.292 | 5.854 | -9.818 | 5.966E+002 |
600.000 | -5.106 | 6.077 | -10.412 | 4.041E+002 |
700.000 | -5.237 | 5.936 | -11.013 | 2.975E+002 |
800.000 | -5.375 | 5.800 | -11.600 | 2.304E+002 |
900.000 | -5.026 | 6.129 | -12.216 | 1.887E+002 |
1000.000 | -5.163 | 6.016 | -12.823 | 1.590E+002 |
表22反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -134.894 | 4.620 | -136.156 | 8.893E+108 |
100.000 | -135.412 | 2.993 | -136.529 | 9.339E+079 |
200.000 | -135.834 | 1.989 | -136.775 | 1.521E+063 |
300.000 | -136.187 | 1.309 | -136.938 | 1.662E+052 |
400.000 | -136.464 | 0.863 | -137.045 | 3.145E+044 |
500.000 | -136.643 | 0.612 | -137.117 | 5.789E+038 |
600.000 | -136.462 | 0.826 | -137.183 | 2.187E+034 |
700.000 | -136.917 | 0.333 | -137.241 | 6.669E+030 |
800.000 | -137.387 | -0.126 | -137.251 | 8.991E+027 |
900.000 | -136.875 | 0.364 | -137.301 | 3.806E+025 |
1000.000 | -137.329 | -0.008 | -137.319 | 3.752E+023 |
表23反应的热力学数据
T(℃) | ΔH(Kcal) | ΔS(Kcal) | ΔG(Kcal) | Keq |
0.000 | -423.175 | 31.434 | -431.762 | 1.000E+308 |
100.000 | -423.093 | 31.710 | -434.925 | 5.650E+254 |
200.000 | -423.197 | 31.470 | -438.087 | 2.349E+202 |
300.000 | -423.424 | 31.038 | -441.213 | 1.797E+168 |
400.000 | -423.714 | 30.573 | -444.294 | 1.818E+144 |
500.000 | -424.016 | 30.154 | -447.329 | 2.878E+126 |
600.000 | -424.028 | 30.132 | -450.339 | 5.361E+112 |
700.000 | -424.723 | 29.380 | -453.314 | 6.510E+101 |
800.000 | -425.461 | 28.658 | -456.216 | 8.264E+092 |
900.000 | -425.237 | 28.892 | -459.132 | 3.469E+085 |
1000.000 | -425.990 | 28.276 | -461.990 | 2.051E+079 |
另外,其它氯化物如GeCl4和相关化合物也能用类似方法蚀刻/清洗高k材料。当蚀刻/清洗铪和锆基高k材料时,可以向反应物中添加A1Cl3以增强HfO2、ZrO2、HfSixOy和ZrSixOy等的氯化。这是因为AlCl3能用作氧清除剂以便于HfO2和ZrO2等的氯化,同时形成铝的氯氧化物,如AlOCl,它比A12O3更具有挥发性。
除了热力学上要有利以外,化学反应往往需要外部能量源以克服活化能垒,从而使反应进行。外部能量源可以是例如,热式加热或等离子体激活。较高温度能加速化学反应,并且使反应副产物更具有挥发性。然而,实际上受到产品沉积室温度的限制。等离子体能产生更多活性粒子以便于反应。在等离子体中的离子在等离子体护套内通过电场加速获得能量。撞击表面的高能离子能提供克服反应活化能垒所需要的能量。离子轰击同样有助于使反应副产物挥发和清除。这些在等离子体蚀刻/清洗和活性离子蚀刻中是常用的机理。任选地,一种方案可以结合热和等离子体活化机理以增强干法蚀刻/清洗高k材料所需要的反应。作为原位等离子体清洗的可选方案,一种方案可以使用外部等离子体源产生更多活性粒子用于从沉积室内清洗高k材料残留物。另外,外部等离子体产生的活性粒子和高k材料之间的反应能通过加热CVD或ALD反应器部件到高达600℃、或高达400℃、以及或高达300℃的高温来激活和/或增强。
图1a和1b是对适合用于分别利用诸如原位等离子体或热源的内部能量源或者外部能量源对室进行清洗的设备10的说明。在图1a中,将反应剂20(也就是BCl3)(在图1a如实线箭头所示)引入基体30(也就是反应室),基体30具有要被除去的物质40、或者诸如所示的HfO2的高k残留物。如图1a所示,该物质40沉积在反应室30内的至少一部分暴露的表面上,特别是接地的侧壁32、喷头34、工件平台36等。该反应剂20暴露于外部能量源50中,例如所示的RF电源或者加热器,这样产生的活性粒子60如BCl3和Cl用虚线箭头表示。活性粒子60与物质40反应并形成挥发性产物70如HfCl4。如点线箭头所示,从室30中除去挥发性产物70。
图1b提供一个设备100的实例,其中该反应剂120(也就是BCl3)暴露于外部能量源150如微波源中以在器具/共振腔115中产生该反应剂的高密度等离子体110。然后,该高密度等离子体110送到具有要被清除的物质(没有显示)的基体130(也就是反应室)中并且形成挥发性产物(没有显示)。该挥发性产物通过140所示的管线和通过泵160的帮助容易从室130中除去。
实施例
本发明将参考下列实施例进行更详细的说明,但是应该理解为:本发明不受实施例的限制。
以下是利用以上用于高k材料的干法蚀刻/清洗的化学物质的实验性实施例。实施例1到3的实验在与图2所示设置相似的平行的板状电容率耦合的RF等离子体反应器中进行。样品片200从涂覆高k材料Al2O3、HfO2和ZrO2的晶片通过原子层沉积法沉积制备。对于每进行一个试验,样品片200放置在载体晶片210上并通过装载锁230装入反应器卡盘(chuck)220内。处理气体240从顶部开口的喷头255进入反应器250。然后,该卡盘220通过13.56MHz RF电源260加电产生等离子体(没有显示)。通过管线270将反应器250连接到涡轮泵(没有显示)上。样品片上的高k膜的厚度在暴露于处理等离子体中的前后通过椭圆光度法测量。等离子体处理之后高k膜厚的变化用来计算蚀刻速率。除了蚀刻速率以外,同样测量等离子体的直流自偏置电压(Vbias)。在实施例1-3中,晶片和室壁均保持在室温下。
实施例1:Al2O3样品的等离子体蚀刻/清洗
由于在等离子体蚀刻/清洗中,功率是一个关键的工艺参数,我们评价功率对通过BCl3等离子体进行Al2O3蚀刻的相关性。结果列于下表24。
表24.RF功率对通过BCl3等离子体进行Al2O3蚀刻的相关性
功率(W) | 功率密度(W/cm2) | 压力(mTorr) | Al2O3蚀刻速率(nm/min) | V偏置(V) |
50 | 0.27 | 500 | 0.0 | 16 |
100 | 0.55 | 500 | 3.0 | 35 |
200 | 1.10 | 500 | 9.8 | 58 |
显然,对于蚀刻Al2O3,存在阀值功率密度为0.55W/cm2或阀值V偏置为35V。较高的功率密度和较高的V偏置导致较高的蚀刻速率。
接着,我们研究室压对通过BCl3等离子体进行Al2O3蚀刻的相关性。结果在下面的表25中列出。
表25.室压对通过BCl3等离子体进行Al2O3蚀刻的相关性
功率(W) | 功率密度(W/cm2) | 压力(mTorr) | Al2O3蚀刻速率(nm/min) | V偏置(V) |
100 | 0.55 | 50 | 7.2 | 91 |
100 | 0.55 | 500 | 3.0 | 35 |
100 | 0.55 | 1000 | 0.8 | 4 |
在减压下获得较高的蚀刻速率。在减压下存在两个有利于蚀刻反应的因素。第一,在较低压下,较高的偏置电压导致更多高能离子轰击以有助于蚀刻反应克服活化能垒。第二,较低压力导致反应副产物较快解吸附和扩散。较高的V偏置也增强高能离子溅射。为了叙述活性离子蚀刻和物理溅射的贡献,我们利用纯氩气等离子体进行比较。结果列于下表26。
表26.Al2O3的氩气等离子体蚀刻
功率(W) | 功率密度(W/cm2) | 压力(mTorr) | Al2O3蚀刻速率(nm/min) | V偏置(V) |
200 | 1.10 | 5 | 0.6 | 173 |
200 | 1.10 | 50 | 1.0 | 189 |
200 | 1.10 | 500 | -0.4 | 185 |
数据显示,即使在比BCl3等离子体高得多的功率和相对高的V偏置下,纯氩气等离子体基本上也不蚀刻Al2O3。这意味着:物理溅射可能不是蚀刻Al2O3的主要机理。相反,离子轰击增强的化学蚀刻或者活性离子的蚀刻(RIE)可能是主要的机理。
在固定RF激发频率(如13.56MHz)下,表24和25中的数据显示:例如,较高的功率和较低的压力能增加偏置电压,偏置电压又可增强高k材料的化学蚀刻。较低地压力和较高的功率对增强涂覆有高k膜基体的等离子体蚀刻特别有效。
一个方案也能在较低频率下操作RF等离子体。穿过等离子体护套的离子跃迁在较低频率下经常呈现双峰能量分布。双峰离子能量分布导致大量具有较高能量的离子撞击到反应器表面。这对于增强从接地ALD室表面上等离子体清洗高k沉积残留物是有效的策略。
实施例2:HfO2样品的等离子体蚀刻/清洗
在500mTorr的压力下,在50到200W之间的所有功率水平下进行HfO2的蚀刻。结果列于下表27。
表27.HfO2的BCl3等离子体蚀刻
功率(W) | 功率密度(W/cm2) | 压力(mTorr) | Al2O3蚀刻速率(nm/min) | V偏置(V) |
50 | 0.27 | 500 | 1.6 | 14 |
50 | 0.27 | 500 | 1.4 | 16 |
100 | 0.55 | 500 | 4.7 | 34 |
200 | 1.10 | 500 | 14.7 | 63 |
实施例3:ZrO2样品的等离子体蚀刻/清洗
用500mTorr的压力和在50到200W之间的所有功率水平下,对ZrO2进行一些试验。结果列于下表28。
表28.ZrO2的BCl3等离子体蚀刻
功率(W) | 功率密度(W/cm2) | 压力(mTorr) | Al2O3蚀刻速率(nm/min) | V偏置(V) |
50 | 0.27 | 500 | 0.3 | 16 |
100 | 0.55 | 500 | -0.38* | 32 |
100 | 0.55 | 500 | -2.5* | 45 |
200 | 1.10 | 500 | 7.1 | 65 |
*暴露于等离子体中一分钟之后,膜变厚。
图3表示在500mTorr的室压和1W/cm2的RF功率密度下,高k材料HfO2、Al2O3和ZrO2的BCl3等离子体蚀刻速率的相对比较。可以看出,在这三种高k材料中,HfO2具有最高的蚀刻速率,并且ZrO2具有最低的蚀刻速率。
实施例4和5说明高k材料的BCl3热蚀刻/清洗,图4是为实施例4和5设置的试验的示意图。在这种反应室300中,能在顶部电极320上应用RF电源310,并且低电极330和室壁340接地。在蚀刻/清洗试验过程中,该反应器可用RF等离子体和热式加热进行运转。在实施例4和5中仅仅使用热式加热。低电极/基架330可以通过AC电加热器350加热,并且由温度控制器360控制。低电极/基架330的温度范围从室温到高达700℃。样品360和载体晶片370放置在低电极/基架330上。样品表面温度大约比低电极设定点环境气氛低50℃。样品制备和测试过程与实施例1到3中的相似。引入样品之后,该反应器排气,并且打开加热器350。当低电极330达到设定点时,向该室300引入工作气体380到设定压力。样品暴露于工作气体380中一段设定的时间。通过连接到泵(没有显示)的管线390排放工作气体380并且从反应室300回收样品360进行测试。
实施例4:Al2O3样品的热蚀刻/清洗
利用BCl3作为蚀刻剂进行一些Al2O3样品的热蚀刻/清洗试验。工艺参数是低电极的温度、室压和BCl3流速。结果列于表29。
表29 Al2O3的BCl3热蚀刻
低电极的设定温度(℃) | 室压(Torr) | BCl3流速(sccm) | 蚀刻速率(nm/min) |
200 | 100 | 100 | 0.0 |
350 | 25 | 100 | 0.1 |
350 | 100 | 100 | 0.2 |
350 | 100 | 100 | 0.2 |
350 | 100 | 0 | 0.3 |
350 | 200 | 100 | 0.3 |
350 | 400 | 100 | 0.7 |
600 | 100 | 100 | 0.6 |
实施例5:HfO2样品的热蚀/清洗
利用BCl3作为蚀刻剂进行一系列类似HfO2样品的热蚀刻/清洗试验。工艺参数是低电极温度、室压和BCl3流速。结果列于表30。
表30HfO2的BCl3热蚀刻
低电极的设定温度(℃) | 室压(Torr) | BCl3流速(sccm) | 蚀刻速率(nm/min) |
200 | 100 | 100 | 0.0 |
350 | 25 | 100 | 0.1 |
350 | 100 | 100 | 0.6 |
350 | 100 | 100 | 0.6 |
350 | 100 | 0 | 0.6 |
350 | 200 | 100 | 1.1 |
350 | 400 | 100 | 2.4 |
600 | 100 | 100 | 1.1 |
图5在恒定室压和BCl3流速下,检验蚀刻速率对低电极的温度的相关性。可以看出,温度增加时,Al2O3和HfO2的蚀刻速率均增加。在相同条件下,HfO2的蚀刻速率比Al2O3更高。
图6在恒定的低电极设定温度和BCl3流速下,检验蚀刻速率对室压的相关性。可以看出,在较高压力下,蚀刻速率增加。在低电极温度为约350℃下,增加室压是增加蚀刻速率更有效的方法。此外,在相同条件下,HfO2的蚀刻速率比Al2O3更高。
表29和30的数据显示:蚀刻速率和BCl3流速之间没有强的相关性。这意味着一种方案可以在连续的蚀刻剂气流(如BCl3)下或在设定压力下而无气流的静态室内进行热蚀刻/清洗。
对比例1:Al2O3、HfO2和ZrO2样品的NF3等离子体蚀刻/清洗
在与如图2所示的相同设备中,并按照如实施例1到3相同的方法,利用8.7标准立方厘米(sccm)NF3和49.3sccm He进行一系列等离子体试验。在各种功率密度和室压下,测试三种不同的高k材料Al2O3、HfO2和ZrO2。详细的试验条件和结果在表31中提供。如表31的结果所示,较高功率密度和低室压导致较高的蚀刻速率。在一些情况下,存在较高的偏置电压,导致更加高能的离子轰击以有助于蚀刻反应克服活化能垒并增强高能离子的物理溅射。较低室压也可能导致反应副产物的快速解吸附和扩散。与前面实施例产生的BCl3等离子体试验结果相比,NF3等离子体无论对Al2O3、HfO2或ZrO2样品而言均具有明显低的蚀刻速率。
表31:Al2O3、HfO2或ZrO2样品的NF3等离子体蚀刻
样品 | 功率密度(W/cm2) | 压力(mTorr) | 蚀刻速率(nm/min) | V偏置(V) |
Al2O3 | 1.1 | 100 | 11 | 590 |
Al2O3 | 1.1 | 250 | 4 | 541 |
Al2O3 | 1.1 | 500 | 2 | 456 |
Al2O3 | 1.1 | 1000 | 0.1 | 310 |
Al2O3 | 0.55 | 500 | 0.1 | 260 |
Al2O3 | 0.27 | 500 | 0 | 130 |
HfO2 | 1 | 250 | 5 | 550 |
HfO2 | 1 | 500 | 4 | 456 |
HfO2 | 1 | 1000 | -0.2 | 310 |
HfO2 | 0.55 | 500 | 0.3 | 260 |
HfO2 | 0.27 | 500 | -0.1 | 130 |
ZrO2 | 1 | 500 | 1 | 456 |
ZrO2 | 1 | 1000 | -0.2 | 310 |
ZrO2 | 0.55 | 500 | 0.1 | 260 |
ZrO2 | 0.27 | 500 | 0 | 130 |
实施例6:利用BCl3和NF3混合物进行HfO2、ZrO2和HfSixOy样品的等离子体蚀刻
用BCl3和NF3混合物测试三种不同高k材料HfO2、ZrO2和HfSixOy的蚀刻速率。对于HfO2,BCl3的流速是10sccm,并且调节NF3的流速以获得NF3对BCl3的不同体积比。对于ZrO2和HfSixOy,总流速是20sccm,并且相应地调节BCl3和NF3的流速以获得NF3对BCl3的不同体积比。对于所有进行的试验,功率密度为0.55W/cm2并且室压为500mTorr,使用如图2所示的相同设置并按照如实施例1到3以及对比例1相同的方法进行试验。
图7a到7c说明在Cl3和NF3混合物中NF3不同的百分含量分别与HfO2、HfSixOy、ZrO2蚀刻速率的关系。与纯的BCl3相比较,HfO2(如图7a所示)和HfSixOy(如图7b所示)的蚀刻速率几乎分别为25体积%的NF3和15体积%的NF3的两倍。
图7c说明在向BCl3中加入不同的NF3的条件下的ZrO2蚀刻速率。在没有NF3的情况下,在给定等离子体条件为:功率密度为0.55W/cm2并且室压为500mTorr下,BCl3不能除去ZrO2材料。实际上,ZrO2暴露于BCl3中1分钟之后厚度增加。然而,在添加NF3的情况下,发生蚀刻。与HfO2和HfSixOy类似,对于ZrO2而言,在约20体积%的NF3时,存在最大蚀刻速率。
虽然本发明参考具体的实施例进行了详细的说明,但是应该理解为:本领域普通技术人员能作各种改变和修改而不偏离本发明的精神和范围。
Claims (31)
1.一种用于从反应器表面上除去一种物质的方法,该方法包括:
提供一个包含反应器表面的反应器,其中:(a)该反应器至少部分涂覆一层该物质的膜;(b)该物质是选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐中的至少一种,或者是一种层压材料,其包括至少一层选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物或含氮的过渡金属硅酸盐的层;以及(c)该物质的介电常数比二氧化硅的介电常数大;
该物质与反应剂反应形成挥发性产物,其中该反应剂包括选自由含卤素化合物、含硼化合物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷或有机氯代硅烷化合物所组成之组中的至少一种;以及
从该反应器中除去该挥发性产物,从而从该表面上除去该物质。
2.如权利要求1的方法,其中该反应器是原子层沉积反应器。
3.如权利要求1的方法,其中该物质至少选自Al2O3、HfO2、ZrO2、HfSixOy、ZrSixOy中的至少一种,其中x大于0并且y是2x+2,以及上述任何一种含氮化合物。
4.如权利要求1的方法,其中该反应剂至少选自由BCl3、COCl2、HCl、Cl2、ClF3、NF3、F2和NFzCl3-z所组成之组中的一种,其中,z是从0到2的整数。
5.如权利要求4的方法,其中该反应剂是由CO和Cl2原位反应形成的COCl2。
6.如权利要求4的方法,其中该反应剂是BCl3。
7.如权利要求1的方法,其中该反应剂是具有公式为CxHyCl2的含碳化合物,其中x是从1到6的数字,y是0到13的数字,和z是1到14的数字。
8.如权利要求1的方法,其中该反应剂从气体钢瓶、安全输送系统或真空输送系统向该物质输送。
9.如权利要求1的方法,其中该反应剂由现场使用的发生器原位形成。
10.如权利要求1的方法,其中该反物质与具有惰性气体稀释剂的反应剂接触。
11.如权利要求1的方法,其中该反应剂包括含卤素化合物的混合物。
12.如权利要求1的方法,其中该含卤素化合物的混合物包括至少一种含氯反应剂和至少一种小于50体积%的含氟反应剂。
13.一种用于从反应室的至少一部分表面上除去一种物质的方法,该方法包括:
提供一个反应室,其中:该反应室至少一部分表面上至少部分涂覆该物质,并且其中该物质的介电常数为4.1或更大,并且该物质是选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐中的至少一种,或者是一种层压材料,其包括至少一层选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物或含氮的过渡金属硅酸盐的层;
向该反应室引入反应剂,其中该反应剂包括选自由含卤素化合物、含硼化合物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的至少一种;
将反应剂暴露于一种或者多种足以使该物质与反应剂反应的能量源中,并且形成挥发性产物;以及
从该反应室中除去该挥发性产物。
14.如权利要求13的方法,其中该反应剂从气体钢瓶、安全输送系统或真空输送系统向该物质输送。
15.如权利要求13的方法,其中该反应剂由使用现场的发生器原位形成。
16.如权利要求13的方法,其中该物质与具有惰性气体稀释剂的反应剂接触。
17.如权利要求13的方法,其中该反应剂沉积到非活性载体上。
18.如权利要求13的方法,其中该反应剂暴露于一种或多种能量源中并且在引入之前进行该暴露步骤。
19.如权利要求13的方法,其中该反应剂暴露于一种或多种能量源中并且在至少一部分引入过程中进行该暴露步骤。
20.如权利要求13的方法,其中该暴露步骤的温度至少为150℃。
21.如权利要求13的方法,其中该暴露步骤的压力至少为10mTorr。
22.如权利要求13的方法,其中该反应剂包括含卤素化合物的混合物。
23.如权利要求13的方法,其中该混合物包括至少一种含氯反应剂和至少一种小于50体积%的含氟反应剂。
24.一种用于从反应器的至少一个表面上除去一种物质的设备,该设备包括:至少一种选自由含卤素化合物、含硼化合物、含碳化合物,含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的反应剂;和
沉积有至少一种反应剂的非活性载体。
25.一种用于从反应器的至少一个表面上除去一种物质的混合物,该混合物包括:
至少一种选自由含卤素化合物、含硼化合物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的反应剂;和
惰性稀释剂。
26.一种用于从基体的至少一个表面上除去一种物质的方法,该方法包括:提供一个基体,其中:该基体至少部分涂覆一层该物质的膜;该物质是选自过渡金属氧化物、过渡金属硅酸盐、除了Al2O3以外的13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐中的至少一种,或者是一种层压材料,其包括至少一层选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物或含氮的过渡金属硅酸盐的层;并且该物质的介电常数比二氧化硅的介电常数大;
该物质与反应剂反应形成挥发性产物,其中该反应剂包括选自由含卤素化合物、含硼化合物、含碳化合物、含氢化合物、含氮化合物、螯合物、氯代硅烷化合物、氢氯化硅烷化合物或有机氯代硅烷化合物所组成之组中的至少一种;以及
从该基体上除去该挥发性产物,从而从该基体上除去该物质。
27.如权利要求26的方法,其中该物质选自由HfO2、ZrO2、HfSixOy、ZrSixOy、Al2SiwOz、或者任何含氮的上述化合物所组成之组中的至少一种,其中x大于0并且y是2x+2,w大于0并且z是2w+3。
28.如权利要求26的方法,其中该物质是包括选自由过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐、含氮的13族金属氧化物或含氮的13族金属硅酸盐所组成之组中的至少一种材料层的层压材料。
29.如权利要求26的方法,其中该反应剂至少选自由BCl3、COCl2、HCl、Cl2、ClF3、NF3、F2和NF2Cl3-z所组成之组中的一种,其中,z是从0到2的整数。
30.如权利要求26的方法,其中该物质选自由HfO2、ZrO2、HfSixOy、ZrSixOy、Al2SiwOz、或者任何含氮的上述化合物所组成之组中的至少一种,其中x大于0并且y是2x+2,w大于0并且z是2w+3。
31.一种用于从反应器表面上除去一种物质的方法,该方法包括:
提供一个包含反应器表面的反应器,其中:(a)该反应器至少部分涂覆一层该物质的膜;(b)该物质是选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的13族金属氧化物、含氮的13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐中的至少一种,或者是一种层压材料,其包括至少一层选自过渡金属氧化物、过渡金属硅酸盐、13族金属氧化物、13族金属硅酸盐、含氮的过渡金属氧化物、含氮的过渡金属硅酸盐、含氮的13族金属氧化物或含氮的13族金属硅酸盐的层;以及(c)该物质的介电常数比二氧化硅的介电常数大;
该物质与反应剂反应形成挥发性产物,该反应剂包括至少一种含氟化合物和选自由含氯化合物、含溴化合物或含碘化合物所组成之组中的至少一种,其中含氟化合物的量小于反应剂的量的50体积%;以及
从该反应器中除去该挥发性产物,从而从该表面上除去该物质。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/723,714 US7357138B2 (en) | 2002-07-18 | 2003-11-26 | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
US10/723714 | 2003-11-26 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1638028A true CN1638028A (zh) | 2005-07-13 |
CN100372055C CN100372055C (zh) | 2008-02-27 |
Family
ID=34465714
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2004101005704A Expired - Fee Related CN100372055C (zh) | 2003-11-26 | 2004-11-26 | 蚀刻高介电常数材料和清洗用于高介电常数材料的沉积室的方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US7357138B2 (zh) |
EP (1) | EP1538235A1 (zh) |
JP (1) | JP2005175466A (zh) |
KR (1) | KR100667723B1 (zh) |
CN (1) | CN100372055C (zh) |
SG (2) | SG135203A1 (zh) |
TW (1) | TWI267898B (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104228329A (zh) * | 2013-06-06 | 2014-12-24 | 东京毅力科创株式会社 | 干燥装置和干燥处理方法 |
CN107533971A (zh) * | 2015-07-23 | 2018-01-02 | 中央硝子株式会社 | 湿式蚀刻方法和蚀刻液 |
CN111066121A (zh) * | 2017-09-11 | 2020-04-24 | 应用材料公司 | 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜 |
Families Citing this family (529)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004225152A (ja) * | 2003-01-27 | 2004-08-12 | Tokyo Electron Ltd | 基板処理方法および半導体装置の製造方法 |
KR101037308B1 (ko) * | 2003-05-30 | 2011-05-27 | 도쿄엘렉트론가부시키가이샤 | 고-k 유전성 재료 에칭 방법 및 시스템 |
US20050153563A1 (en) * | 2004-01-14 | 2005-07-14 | Lam Research Corporation | Selective etch of films with high dielectric constant |
JP2005268292A (ja) * | 2004-03-16 | 2005-09-29 | Toshiba Corp | 半導体装置の製造方法 |
US20050241671A1 (en) * | 2004-04-29 | 2005-11-03 | Dong Chun C | Method for removing a substance from a substrate using electron attachment |
US20050241670A1 (en) * | 2004-04-29 | 2005-11-03 | Dong Chun C | Method for cleaning a reactor using electron attachment |
US7581549B2 (en) * | 2004-07-23 | 2009-09-01 | Air Products And Chemicals, Inc. | Method for removing carbon-containing residues from a substrate |
US20060017043A1 (en) * | 2004-07-23 | 2006-01-26 | Dingjun Wu | Method for enhancing fluorine utilization |
US7179759B2 (en) * | 2004-09-30 | 2007-02-20 | Taiwan Semiconductor Manufacturing Company | Barrier layer and fabrication method thereof |
JP4836112B2 (ja) * | 2004-12-24 | 2011-12-14 | 国立大学法人京都大学 | 半導体処理装置のクリーニング方法およびシリコン基板のエッチング方法 |
US7509962B2 (en) * | 2005-01-21 | 2009-03-31 | Tokyo Electron Limited | Method and control system for treating a hafnium-based dielectric processing system |
US20060183055A1 (en) * | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
US7485580B2 (en) * | 2005-09-20 | 2009-02-03 | Air Products And Chemicals, Inc. | Method for removing organic electroluminescent residues from a substrate |
US20080047579A1 (en) * | 2006-08-25 | 2008-02-28 | Bing Ji | Detecting the endpoint of a cleaning process |
US8986456B2 (en) * | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
KR101064354B1 (ko) * | 2006-11-09 | 2011-09-14 | 가부시키가이샤 알박 | 장벽막 형성 방법 |
JP5110987B2 (ja) * | 2007-07-05 | 2012-12-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法およびコンピュータ読み取り可能な記録媒体 |
JP5297615B2 (ja) * | 2007-09-07 | 2013-09-25 | 株式会社日立ハイテクノロジーズ | ドライエッチング方法 |
JP2009076590A (ja) * | 2007-09-19 | 2009-04-09 | Hitachi Kokusai Electric Inc | クリーニング方法 |
JP5213868B2 (ja) * | 2007-09-19 | 2013-06-19 | 株式会社日立国際電気 | クリーニング方法及び基板処理装置 |
JP4994197B2 (ja) * | 2007-11-16 | 2012-08-08 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
JP5226452B2 (ja) * | 2008-10-09 | 2013-07-03 | 大日本スクリーン製造株式会社 | チャンバ洗浄方法 |
US20100112191A1 (en) * | 2008-10-30 | 2010-05-06 | Micron Technology, Inc. | Systems and associated methods for depositing materials |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
WO2010129289A2 (en) * | 2009-04-28 | 2010-11-11 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) * | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
WO2011031521A2 (en) * | 2009-08-27 | 2011-03-17 | Applied Materials, Inc. | Method of decontamination of process chamber after in-situ chamber clean |
DE102010060297A1 (de) * | 2009-11-04 | 2011-07-28 | Oerlikon Solar Ag, Trübbach | Verfahren zum Entfernen von Kontamination von einem Reaktor |
JP5655296B2 (ja) * | 2009-12-01 | 2015-01-21 | セントラル硝子株式会社 | エッチングガス |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8901016B2 (en) * | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US10240230B2 (en) | 2012-12-18 | 2019-03-26 | Seastar Chemicals Inc. | Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8894870B2 (en) * | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US20150111394A1 (en) * | 2013-10-23 | 2015-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming uniform film on semiconductor substrate |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
WO2015144487A1 (en) * | 2014-03-24 | 2015-10-01 | Imec Vzw | Method for cleaning a process chamber |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) * | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10381227B2 (en) | 2014-12-18 | 2019-08-13 | The Regents Of The University Of Colorado, A Body Corporate | Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6604738B2 (ja) * | 2015-04-10 | 2019-11-13 | 東京エレクトロン株式会社 | プラズマエッチング方法、パターン形成方法及びクリーニング方法 |
KR102465801B1 (ko) * | 2015-05-22 | 2022-11-14 | 주식회사 히타치하이테크 | 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10256108B2 (en) | 2016-03-01 | 2019-04-09 | Lam Research Corporation | Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
WO2017213842A2 (en) | 2016-05-23 | 2017-12-14 | The Regents Of The University Of Colorado, A Body Corporate | Enhancement of thermal atomic layer etching |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
CN109463005B (zh) * | 2016-06-03 | 2023-12-15 | 恩特格里斯公司 | 二氧化铪和二氧化锆的气相蚀刻 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10208383B2 (en) | 2017-02-09 | 2019-02-19 | The Regents Of The University Of Colorado, A Body Corporate | Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
JP6980406B2 (ja) * | 2017-04-25 | 2021-12-15 | 株式会社日立ハイテク | 半導体製造装置及び半導体装置の製造方法 |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US20180350571A1 (en) * | 2017-06-05 | 2018-12-06 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
US20180345330A1 (en) * | 2017-06-05 | 2018-12-06 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
US20180347037A1 (en) * | 2017-06-05 | 2018-12-06 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
CN109023302B (zh) * | 2017-06-12 | 2020-11-10 | 北京北方华创微电子装备有限公司 | 一种成膜设备及其原位清洗方法 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
TWI779134B (zh) | 2017-11-27 | 2022-10-01 | 荷蘭商Asm智慧財產控股私人有限公司 | 用於儲存晶圓匣的儲存裝置及批爐總成 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
KR102476262B1 (ko) * | 2017-12-14 | 2022-12-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 에칭 잔류물이 더 적게 금속 산화물들을 에칭하는 방법들 |
JP6902991B2 (ja) | 2017-12-19 | 2021-07-14 | 株式会社日立ハイテク | プラズマ処理装置 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102657269B1 (ko) | 2018-02-14 | 2024-04-16 | 에이에스엠 아이피 홀딩 비.브이. | 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법 |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
JP7093656B2 (ja) * | 2018-03-22 | 2022-06-30 | レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | エッチング方法および半導体素子の製造方法 |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
TWI751420B (zh) | 2018-06-29 | 2022-01-01 | 荷蘭商Asm知識產權私人控股有限公司 | 薄膜沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11137536B2 (en) | 2018-07-26 | 2021-10-05 | Facebook Technologies, Llc | Bragg-like gratings on high refractive index material |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102638452B1 (ko) * | 2018-09-05 | 2024-02-21 | 가부시키가이샤 코쿠사이 엘렉트릭 | 클리닝 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치 |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
TW202113936A (zh) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
JP7116248B2 (ja) | 2020-04-03 | 2022-08-09 | 株式会社日立ハイテク | プラズマ処理装置およびプラズマ処理方法 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
TW202140831A (zh) | 2020-04-24 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含氮化釩層及包含該層的結構之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
US11226446B2 (en) * | 2020-05-06 | 2022-01-18 | Facebook Technologies, Llc | Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220006455A (ko) | 2020-07-08 | 2022-01-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
JP2022063748A (ja) | 2020-10-12 | 2022-04-22 | 東京エレクトロン株式会社 | 埋め込み方法及び成膜装置 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
KR20220101830A (ko) * | 2021-01-12 | 2022-07-19 | 에스케이스페셜티 주식회사 | 금속산화물 반도체 물질의 증착 챔버의 세정 방법 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
JP7307861B2 (ja) * | 2021-06-09 | 2023-07-12 | 株式会社日立ハイテク | 半導体製造方法及び半導体製造装置 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4030967A (en) * | 1976-08-16 | 1977-06-21 | Northern Telecom Limited | Gaseous plasma etching of aluminum and aluminum oxide |
US5288662A (en) * | 1992-06-15 | 1994-02-22 | Air Products And Chemicals, Inc. | Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning |
JP3502096B2 (ja) * | 1992-06-22 | 2004-03-02 | ラム リサーチ コーポレイション | プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法 |
US5637153A (en) * | 1993-04-30 | 1997-06-10 | Tokyo Electron Limited | Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus |
US5454903A (en) * | 1993-10-29 | 1995-10-03 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization |
US5900103A (en) * | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
GB9626329D0 (en) | 1996-12-19 | 1997-02-05 | British Nuclear Fuels Plc | Improvements in and relating to the storage, transportation and production of active fluoride |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US6379575B1 (en) * | 1997-10-21 | 2002-04-30 | Applied Materials, Inc. | Treatment of etching chambers using activated cleaning gas |
US5972722A (en) * | 1998-04-14 | 1999-10-26 | Texas Instruments Incorporated | Adhesion promoting sacrificial etch stop layer in advanced capacitor structures |
EP1001459B1 (en) | 1998-09-09 | 2011-11-09 | Texas Instruments Incorporated | Integrated circuit comprising a capacitor and method |
JP2000200779A (ja) * | 1998-10-30 | 2000-07-18 | Toshiba Corp | エッチング方法,化学気相成長装置,化学気相成長装置のクリ―ニング方法,及び化学気相成長装置用の石英部材 |
KR100279907B1 (ko) * | 1998-12-31 | 2001-03-02 | 김동성 | 반도체 장치의 제조공정시 챔버의 평균 클리닝 주기를증가시키기 위한 챔버 시즈닝 처리방법 |
US6238582B1 (en) * | 1999-03-30 | 2001-05-29 | Veeco Instruments, Inc. | Reactive ion beam etching method and a thin film head fabricated using the method |
AU6336700A (en) | 1999-06-24 | 2001-01-09 | Gadgil, Prasad Narhar | Apparatus for atomic layer chemical vapor deposition |
JP2002025991A (ja) * | 2000-07-13 | 2002-01-25 | Fuji Electric Co Ltd | プラズマクリーニング方法、半導体装置の製造方法 |
US6689220B1 (en) | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
US6613695B2 (en) * | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
JP4163395B2 (ja) * | 2001-05-17 | 2008-10-08 | 株式会社日立国際電気 | 半導体装置の製造方法および半導体装置の製造装置 |
EP1460678A4 (en) | 2001-07-31 | 2010-01-06 | Air Liquide | CLEANING METHOD AND APPARATUS AND METHOD AND APPARATUS FOR ETCHING |
JP4374854B2 (ja) * | 2001-12-25 | 2009-12-02 | 東京エレクトロン株式会社 | 処理装置及びそのクリーニング方法 |
JP3806868B2 (ja) | 2002-01-07 | 2006-08-09 | 株式会社日立製作所 | Cvd装置のクリーニング方法 |
JP3891848B2 (ja) * | 2002-01-17 | 2007-03-14 | 東京エレクトロン株式会社 | 処理装置および処理方法 |
JP2003218100A (ja) * | 2002-01-21 | 2003-07-31 | Central Glass Co Ltd | 混合クリーニングガス組成物 |
US6806095B2 (en) * | 2002-03-06 | 2004-10-19 | Padmapani C. Nallan | Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers |
JP4099092B2 (ja) * | 2002-03-26 | 2008-06-11 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法、高速ロータリバルブ |
JP3897165B2 (ja) | 2002-07-02 | 2007-03-22 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
US20040011380A1 (en) * | 2002-07-18 | 2004-01-22 | Bing Ji | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
US20040014327A1 (en) | 2002-07-18 | 2004-01-22 | Bing Ji | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
-
2003
- 2003-11-26 US US10/723,714 patent/US7357138B2/en not_active Expired - Fee Related
-
2004
- 2004-11-22 TW TW093135906A patent/TWI267898B/zh not_active IP Right Cessation
- 2004-11-22 EP EP04027638A patent/EP1538235A1/en not_active Withdrawn
- 2004-11-24 SG SG200706288-8A patent/SG135203A1/en unknown
- 2004-11-24 SG SG200407219A patent/SG112101A1/en unknown
- 2004-11-25 KR KR1020040097222A patent/KR100667723B1/ko not_active IP Right Cessation
- 2004-11-26 JP JP2004342118A patent/JP2005175466A/ja active Pending
- 2004-11-26 CN CNB2004101005704A patent/CN100372055C/zh not_active Expired - Fee Related
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104228329A (zh) * | 2013-06-06 | 2014-12-24 | 东京毅力科创株式会社 | 干燥装置和干燥处理方法 |
CN104228329B (zh) * | 2013-06-06 | 2017-06-30 | 东京毅力科创株式会社 | 干燥装置和干燥处理方法 |
CN107533971A (zh) * | 2015-07-23 | 2018-01-02 | 中央硝子株式会社 | 湿式蚀刻方法和蚀刻液 |
CN107533971B (zh) * | 2015-07-23 | 2021-01-26 | 中央硝子株式会社 | 湿式蚀刻方法和蚀刻液 |
CN111066121A (zh) * | 2017-09-11 | 2020-04-24 | 应用材料公司 | 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜 |
CN111066121B (zh) * | 2017-09-11 | 2024-03-19 | 应用材料公司 | 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜 |
Also Published As
Publication number | Publication date |
---|---|
TWI267898B (en) | 2006-12-01 |
TW200518178A (en) | 2005-06-01 |
SG135203A1 (en) | 2007-09-28 |
CN100372055C (zh) | 2008-02-27 |
KR100667723B1 (ko) | 2007-01-15 |
JP2005175466A (ja) | 2005-06-30 |
US7357138B2 (en) | 2008-04-15 |
SG112101A1 (en) | 2005-06-29 |
US20040129671A1 (en) | 2004-07-08 |
EP1538235A1 (en) | 2005-06-08 |
KR20050050579A (ko) | 2005-05-31 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1638028A (zh) | 蚀刻高介电常数材料和清洗用于高介电常数材料的沉积室的方法 | |
TWI285685B (en) | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials | |
US20040011380A1 (en) | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials | |
CN100347815C (zh) | 清洗高介电常数材料沉积室的方法 | |
TW554418B (en) | Method and device for cleaning chemical vapor deposition apparatus | |
US8974602B2 (en) | Method of reducing contamination in CVD chamber | |
TWI297177B (en) | Cvd apparatus and method for cleaning the same | |
KR101363440B1 (ko) | 클리닝 가스 및 퇴적물의 제거 방법 | |
CN1539159A (zh) | 薄膜形成装置的洗净方法 | |
TW583736B (en) | Plasma cleaning gas and plasma cleaning method | |
CN1922102A (zh) | 用于制备含f2气体的方法和装置以及用于制品表面改性的方法和装置 | |
KR100786609B1 (ko) | 기구 부품의 반응성 기체 청소 방법 및 공정 | |
EP1764848A2 (en) | Method for removing organic electroluminescent residues from a substrate | |
US20110088718A1 (en) | Chamber cleaning methods using fluorine containing cleaning compounds | |
JP2008060171A (ja) | 半導体処理装置のクリーニング方法 | |
TWI477485B (zh) | 原位產生碳醯氟化物或其任何變異體之分子蝕刻劑之方法及其應用 | |
US7267842B2 (en) | Method for removing titanium dioxide deposits from a reactor | |
JP2003229365A (ja) | 混合クリーニングガス組成物 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20080227 Termination date: 20151126 |