TWI267898B - Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials - Google Patents
Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials Download PDFInfo
- Publication number
- TWI267898B TWI267898B TW093135906A TW93135906A TWI267898B TW I267898 B TWI267898 B TW I267898B TW 093135906 A TW093135906 A TW 093135906A TW 93135906 A TW93135906 A TW 93135906A TW I267898 B TWI267898 B TW I267898B
- Authority
- TW
- Taiwan
- Prior art keywords
- group
- reactant
- compound
- nitrogen
- substance
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 67
- 239000000463 material Substances 0.000 title claims description 96
- 238000004140 cleaning Methods 0.000 title abstract description 37
- 238000005530 etching Methods 0.000 title abstract description 26
- 230000008021 deposition Effects 0.000 title description 9
- 150000001875 compounds Chemical class 0.000 claims abstract description 82
- 239000000126 substance Substances 0.000 claims abstract description 59
- -1 nitrogen-containing compound Chemical class 0.000 claims abstract description 50
- 239000003795 chemical substances by application Substances 0.000 claims abstract description 24
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 14
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 13
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 11
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims abstract description 9
- 239000001257 hydrogen Substances 0.000 claims abstract description 9
- 230000008569 process Effects 0.000 claims abstract description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 8
- 229910052796 boron Inorganic materials 0.000 claims abstract description 8
- 229910052736 halogen Inorganic materials 0.000 claims abstract description 5
- 150000002367 halogens Chemical class 0.000 claims abstract description 5
- 238000006243 chemical reaction Methods 0.000 claims description 83
- 239000000376 reactant Substances 0.000 claims description 73
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 55
- 229910021482 group 13 metal Inorganic materials 0.000 claims description 46
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 claims description 39
- 150000004706 metal oxides Chemical class 0.000 claims description 35
- 239000007789 gas Substances 0.000 claims description 33
- 229910000314 transition metal oxide Inorganic materials 0.000 claims description 30
- 238000000231 atomic layer deposition Methods 0.000 claims description 24
- 239000000203 mixture Substances 0.000 claims description 21
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 17
- 239000000460 chlorine Substances 0.000 claims description 17
- 229910052801 chlorine Inorganic materials 0.000 claims description 17
- 229910052723 transition metal Inorganic materials 0.000 claims description 17
- 239000013522 chelant Substances 0.000 claims description 13
- 229910052731 fluorine Inorganic materials 0.000 claims description 11
- 239000011737 fluorine Substances 0.000 claims description 11
- 238000011065 in-situ storage Methods 0.000 claims description 11
- 229910052751 metal Inorganic materials 0.000 claims description 11
- 239000002184 metal Substances 0.000 claims description 11
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 claims description 10
- 150000003624 transition metals Chemical class 0.000 claims description 9
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 claims description 8
- 229910000326 transition metal silicate Inorganic materials 0.000 claims description 7
- 150000003839 salts Chemical class 0.000 claims description 6
- KRKNYBCHXYNGOX-UHFFFAOYSA-K Citrate Chemical compound [O-]C(=O)CC(O)(CC([O-])=O)C([O-])=O KRKNYBCHXYNGOX-UHFFFAOYSA-K 0.000 claims description 5
- YGYAWVDWMABLBF-UHFFFAOYSA-N Phosgene Chemical compound ClC(Cl)=O YGYAWVDWMABLBF-UHFFFAOYSA-N 0.000 claims description 5
- 239000002253 acid Substances 0.000 claims description 5
- 150000004760 silicates Chemical class 0.000 claims description 5
- 230000007704 transition Effects 0.000 claims description 5
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 4
- 239000003085 diluting agent Substances 0.000 claims description 4
- 229910052707 ruthenium Inorganic materials 0.000 claims description 4
- 239000011261 inert gas Substances 0.000 claims description 3
- DIOQZVSQGTUSAI-UHFFFAOYSA-N n-butylhexane Natural products CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 claims description 3
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 2
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 2
- 239000004575 stone Substances 0.000 claims description 2
- 238000012546 transfer Methods 0.000 claims description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims 1
- 239000010931 gold Substances 0.000 claims 1
- 229910052737 gold Inorganic materials 0.000 claims 1
- 238000007038 hydrochlorination reaction Methods 0.000 claims 1
- 238000005121 nitriding Methods 0.000 claims 1
- 239000000758 substrate Substances 0.000 abstract description 29
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 abstract description 9
- 239000002738 chelating agent Substances 0.000 abstract description 2
- 239000005046 Chlorosilane Substances 0.000 abstract 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 abstract 1
- 150000001367 organochlorosilanes Chemical class 0.000 abstract 1
- 235000012239 silicon dioxide Nutrition 0.000 abstract 1
- 239000000377 silicon dioxide Substances 0.000 abstract 1
- 210000002381 plasma Anatomy 0.000 description 47
- 239000000047 product Substances 0.000 description 18
- 239000002245 particle Substances 0.000 description 16
- 238000001020 plasma etching Methods 0.000 description 15
- 238000012360 testing method Methods 0.000 description 15
- DUNKXUFBGCUVQW-UHFFFAOYSA-J zirconium tetrachloride Chemical compound Cl[Zr](Cl)(Cl)Cl DUNKXUFBGCUVQW-UHFFFAOYSA-J 0.000 description 15
- 150000002500 ions Chemical class 0.000 description 14
- 239000010408 film Substances 0.000 description 13
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 description 13
- 229910044991 metal oxide Inorganic materials 0.000 description 12
- 235000012431 wafers Nutrition 0.000 description 12
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 11
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 10
- 239000006227 byproduct Substances 0.000 description 10
- 238000000151 deposition Methods 0.000 description 10
- 239000001301 oxygen Substances 0.000 description 10
- 229910052760 oxygen Inorganic materials 0.000 description 10
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- 229910015844 BCl3 Inorganic materials 0.000 description 7
- 229910052681 coesite Inorganic materials 0.000 description 7
- 229910052906 cristobalite Inorganic materials 0.000 description 7
- 230000002349 favourable effect Effects 0.000 description 7
- 229910052682 stishovite Inorganic materials 0.000 description 7
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 7
- 229910052905 tridymite Inorganic materials 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 6
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 6
- 229910052794 bromium Inorganic materials 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 150000001805 chlorine compounds Chemical class 0.000 description 6
- 229910007932 ZrCl4 Inorganic materials 0.000 description 5
- 230000004913 activation Effects 0.000 description 5
- 238000001994 activation Methods 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 238000005108 dry cleaning Methods 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 238000010849 ion bombardment Methods 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 description 5
- 229910052845 zircon Inorganic materials 0.000 description 5
- 229910003865 HfCl4 Inorganic materials 0.000 description 4
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- 229940123973 Oxygen scavenger Drugs 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 150000008280 chlorinated hydrocarbons Chemical class 0.000 description 4
- PDPJQWYGJJBYLF-UHFFFAOYSA-J hafnium tetrachloride Chemical compound Cl[Hf](Cl)(Cl)Cl PDPJQWYGJJBYLF-UHFFFAOYSA-J 0.000 description 4
- 125000001183 hydrocarbyl group Chemical group 0.000 description 4
- 239000007788 liquid Substances 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000004544 sputter deposition Methods 0.000 description 4
- 101100055113 Caenorhabditis elegans aho-3 gene Proteins 0.000 description 3
- 229910003910 SiCl4 Inorganic materials 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 150000001649 bromium compounds Chemical class 0.000 description 3
- 150000001722 carbon compounds Chemical class 0.000 description 3
- 239000007795 chemical reaction product Substances 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 230000002708 enhancing effect Effects 0.000 description 3
- 230000005284 excitation Effects 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 230000001965 increasing effect Effects 0.000 description 3
- 239000003701 inert diluent Substances 0.000 description 3
- 229910052914 metal silicate Inorganic materials 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 239000004071 soot Substances 0.000 description 3
- 241000894007 species Species 0.000 description 3
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 2
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 2
- 235000009827 Prunus armeniaca Nutrition 0.000 description 2
- 244000018633 Prunus armeniaca Species 0.000 description 2
- 229910018503 SF6 Inorganic materials 0.000 description 2
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 2
- 230000002902 bimodal effect Effects 0.000 description 2
- 210000004556 brain Anatomy 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 238000003486 chemical etching Methods 0.000 description 2
- 239000003153 chemical reaction reagent Substances 0.000 description 2
- 238000005660 chlorination reaction Methods 0.000 description 2
- 230000000052 comparative effect Effects 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000002425 crystallisation Methods 0.000 description 2
- 230000008025 crystallization Effects 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 238000002309 gasification Methods 0.000 description 2
- 125000005843 halogen group Chemical group 0.000 description 2
- 230000006698 induction Effects 0.000 description 2
- 230000000977 initiatory effect Effects 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 239000011630 iodine Substances 0.000 description 2
- 238000010884 ion-beam technique Methods 0.000 description 2
- 229910001510 metal chloride Inorganic materials 0.000 description 2
- 229910052752 metalloid Inorganic materials 0.000 description 2
- 150000002738 metalloids Chemical class 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052754 neon Inorganic materials 0.000 description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 150000004772 tellurides Chemical class 0.000 description 2
- HJUGFYREWKUQJT-UHFFFAOYSA-N tetrabromomethane Chemical compound BrC(Br)(Br)Br HJUGFYREWKUQJT-UHFFFAOYSA-N 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- GHYOCDFICYLMRF-UTIIJYGPSA-N (2S,3R)-N-[(2S)-3-(cyclopenten-1-yl)-1-[(2R)-2-methyloxiran-2-yl]-1-oxopropan-2-yl]-3-hydroxy-3-(4-methoxyphenyl)-2-[[(2S)-2-[(2-morpholin-4-ylacetyl)amino]propanoyl]amino]propanamide Chemical compound C1(=CCCC1)C[C@@H](C(=O)[C@@]1(OC1)C)NC([C@H]([C@@H](C1=CC=C(C=C1)OC)O)NC([C@H](C)NC(CN1CCOCC1)=O)=O)=O GHYOCDFICYLMRF-UTIIJYGPSA-N 0.000 description 1
- UWTUEMKLYAGTNQ-OWOJBTEDSA-N (e)-1,2-dibromoethene Chemical group Br\C=C\Br UWTUEMKLYAGTNQ-OWOJBTEDSA-N 0.000 description 1
- IYGFXCHRVIXMFU-UHFFFAOYSA-N 1,1,1,4,4,5-hexachloropentane-2,3-dione Chemical compound C(C(C(=O)C(=O)C(Cl)(Cl)Cl)(Cl)Cl)Cl IYGFXCHRVIXMFU-UHFFFAOYSA-N 0.000 description 1
- BERXRDWPBSLJLU-UHFFFAOYSA-N 1,1,1,4,4,5-hexafluoropentane-2,3-dione Chemical compound FCC(F)(F)C(=O)C(=O)C(F)(F)F BERXRDWPBSLJLU-UHFFFAOYSA-N 0.000 description 1
- ZTEHOZMYMCEYRM-UHFFFAOYSA-N 1-chlorodecane Chemical compound CCCCCCCCCCCl ZTEHOZMYMCEYRM-UHFFFAOYSA-N 0.000 description 1
- HGUFODBRKLSHSI-UHFFFAOYSA-N 2,3,7,8-tetrachloro-dibenzo-p-dioxin Chemical compound O1C2=CC(Cl)=C(Cl)C=C2OC2=C1C=C(Cl)C(Cl)=C2 HGUFODBRKLSHSI-UHFFFAOYSA-N 0.000 description 1
- 125000004215 2,4-difluorophenyl group Chemical group [H]C1=C([H])C(*)=C(F)C([H])=C1F 0.000 description 1
- 229920001342 Bakelite® Polymers 0.000 description 1
- XGLOTIMKDGLOKV-UHFFFAOYSA-N C1=CC=CC=2C3=CC=CC=C3CC12.[C] Chemical compound C1=CC=CC=2C3=CC=CC=C3CC12.[C] XGLOTIMKDGLOKV-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- DOJXGHGHTWFZHK-UHFFFAOYSA-N Hexachloroacetone Chemical compound ClC(Cl)(Cl)C(=O)C(Cl)(Cl)Cl DOJXGHGHTWFZHK-UHFFFAOYSA-N 0.000 description 1
- 241000238631 Hexapoda Species 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- 102000007530 Neurofibromin 1 Human genes 0.000 description 1
- 108010085793 Neurofibromin 1 Proteins 0.000 description 1
- 229910005811 NiMnSb Inorganic materials 0.000 description 1
- CYTYCFOTNPOANT-UHFFFAOYSA-N Perchloroethylene Chemical compound ClC(Cl)=C(Cl)Cl CYTYCFOTNPOANT-UHFFFAOYSA-N 0.000 description 1
- 208000003251 Pruritus Diseases 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910007938 ZrBr4 Inorganic materials 0.000 description 1
- XLHIHJISYGTYNA-UHFFFAOYSA-N [B].ClOCl Chemical compound [B].ClOCl XLHIHJISYGTYNA-UHFFFAOYSA-N 0.000 description 1
- ATFJOPNBIKXOBU-UHFFFAOYSA-N [Br].[C] Chemical class [Br].[C] ATFJOPNBIKXOBU-UHFFFAOYSA-N 0.000 description 1
- LPSCRKGVODJZIB-UHFFFAOYSA-N [I].[C] Chemical class [I].[C] LPSCRKGVODJZIB-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 239000013543 active substance Substances 0.000 description 1
- 230000032683 aging Effects 0.000 description 1
- PQLAYKMGZDUDLQ-UHFFFAOYSA-K aluminium bromide Chemical compound Br[Al](Br)Br PQLAYKMGZDUDLQ-UHFFFAOYSA-K 0.000 description 1
- 125000004202 aminomethyl group Chemical group [H]N([H])C([H])([H])* 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000004637 bakelite Substances 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- LYFNTXKAUOXQOS-UHFFFAOYSA-N bismuth;nitric oxide Chemical class [Bi].O=[N] LYFNTXKAUOXQOS-UHFFFAOYSA-N 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 230000031709 bromination Effects 0.000 description 1
- 238000005893 bromination reaction Methods 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 210000004027 cell Anatomy 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000012707 chemical precursor Substances 0.000 description 1
- 239000012320 chlorinating reagent Substances 0.000 description 1
- 150000001804 chlorine Chemical class 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- FOCAUTSVDIKZOP-UHFFFAOYSA-N chloroacetic acid Chemical compound OC(=O)CCl FOCAUTSVDIKZOP-UHFFFAOYSA-N 0.000 description 1
- 229940106681 chloroacetic acid Drugs 0.000 description 1
- 125000004218 chloromethyl group Chemical group [H]C([H])(Cl)* 0.000 description 1
- KFUSEUYYWQURPO-UPHRSURJSA-N cis-1,2-dichloroethene Chemical group Cl\C=C/Cl KFUSEUYYWQURPO-UPHRSURJSA-N 0.000 description 1
- 239000011538 cleaning material Substances 0.000 description 1
- 229940125797 compound 12 Drugs 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- DIOQZVSQGTUSAI-NJFSPNSNSA-N decane Chemical class CCCCCCCCC[14CH3] DIOQZVSQGTUSAI-NJFSPNSNSA-N 0.000 description 1
- 230000006837 decompression Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- RCJVRSBWZCNNQT-UHFFFAOYSA-N dichloridooxygen Chemical compound ClOCl RCJVRSBWZCNNQT-UHFFFAOYSA-N 0.000 description 1
- 125000006222 dimethylaminomethyl group Chemical group [H]C([H])([H])N(C([H])([H])[H])C([H])([H])* 0.000 description 1
- REAOZOPEJGPVCB-UHFFFAOYSA-N dioxygen difluoride Chemical compound FOOF REAOZOPEJGPVCB-UHFFFAOYSA-N 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 235000013399 edible fruits Nutrition 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 239000000839 emulsion Substances 0.000 description 1
- 235000020457 energy shots Nutrition 0.000 description 1
- 238000005188 flotation Methods 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000008246 gaseous mixture Substances 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical group [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- FEEFWFYISQGDKK-UHFFFAOYSA-J hafnium(4+);tetrabromide Chemical compound Br[Hf](Br)(Br)Br FEEFWFYISQGDKK-UHFFFAOYSA-J 0.000 description 1
- 150000008282 halocarbons Chemical class 0.000 description 1
- UKACHOXRXFQJFN-UHFFFAOYSA-N heptafluoropropane Chemical compound FC(F)C(F)(F)C(F)(F)F UKACHOXRXFQJFN-UHFFFAOYSA-N 0.000 description 1
- VBZWSGALLODQNC-UHFFFAOYSA-N hexafluoroacetone Chemical compound FC(F)(F)C(=O)C(F)(F)F VBZWSGALLODQNC-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- AQYSYJUIMQTRMV-UHFFFAOYSA-N hypofluorous acid Chemical class FO AQYSYJUIMQTRMV-UHFFFAOYSA-N 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000006192 iodination reaction Methods 0.000 description 1
- 150000002497 iodine compounds Chemical class 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 230000007803 itching Effects 0.000 description 1
- 150000002576 ketones Chemical class 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 229910001512 metal fluoride Inorganic materials 0.000 description 1
- 229910021645 metal ion Inorganic materials 0.000 description 1
- 229910052976 metal sulfide Inorganic materials 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 229910017464 nitrogen compound Inorganic materials 0.000 description 1
- 150000002830 nitrogen compounds Chemical class 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 150000002902 organometallic compounds Chemical class 0.000 description 1
- 150000003891 oxalate salts Chemical class 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 125000006340 pentafluoro ethyl group Chemical group FC(F)(F)C(F)(F)* 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 238000000678 plasma activation Methods 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000004064 recycling Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000006748 scratching Methods 0.000 description 1
- 230000002393 scratching effect Effects 0.000 description 1
- 238000005201 scrubbing Methods 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 230000002195 synergetic effect Effects 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 235000007586 terpenes Nutrition 0.000 description 1
- 238000012956 testing procedure Methods 0.000 description 1
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 1
- 238000007725 thermal activation Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 150000003568 thioethers Chemical class 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- KFUSEUYYWQURPO-OWOJBTEDSA-N trans-1,2-dichloroethene Chemical group Cl\C=C\Cl KFUSEUYYWQURPO-OWOJBTEDSA-N 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 125000003866 trichloromethyl group Chemical group ClC(Cl)(Cl)* 0.000 description 1
- JOHWNGGYGAVMGU-UHFFFAOYSA-N trifluorochlorine Chemical compound FCl(F)F JOHWNGGYGAVMGU-UHFFFAOYSA-N 0.000 description 1
- VPAYJEUHKVESSD-UHFFFAOYSA-N trifluoroiodomethane Chemical compound FC(F)(F)I VPAYJEUHKVESSD-UHFFFAOYSA-N 0.000 description 1
- 150000003648 triterpenes Chemical class 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 239000003039 volatile agent Substances 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
- LSWWNKUULMMMIL-UHFFFAOYSA-J zirconium(iv) bromide Chemical compound Br[Zr](Br)(Br)Br LSWWNKUULMMMIL-UHFFFAOYSA-J 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
- B08B7/0035—Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Metallurgy (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Optics & Photonics (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Cleaning Or Drying Semiconductors (AREA)
Description
1267898 九、發明說明: 相關申請的交又引用 本申請是2003年4月1〇 n 曰心交的美國專利申請 Ν〇·10/41〇,803的部分繼續申 2009 ^ / 明而申請 No.10/10,803 是 20〇2年7月18日提交的美 疋 分 _ H No. 10/198,509 刀、、、蘧績申凊,它們公開的内 在本文中全文引用供參考。 發明所屬之技術領域 種用蝕刻和/或清 本發明關於一 一種物質的方法。 潔應用從基材上除去 先前技術 在製造半導體積體電路(IC)中,介電材料,如二 石夕(Si〇2)、氮化矽(Si N ) 且古痒以4)和矽的氮氧化物(si〇N、 紗也v 巴緣體。延種絕緣體經 %為柵極介質。隨著IC設備 R 2嶽嘴丄 彳八了的減小,柵極介質 薄。'栅極介質層厚度接近幾個納米或更小時, 規的心〇2、叫比和Si〇N 、 了太非A丨 擎牙而不再絕緣。 :在非“、的厚度⑶。納米)下維持足夠的擊穿電廢 y電常數的材料可以用作栅極絕緣層。如本 :語“高介電常數材料,,或者“高k材料,,是指介電常 大於約4.1,或者大於二氧 ^ t !t ’旧;丨电吊數的材料。另外 兩k材料也能用作半導體記憶體 m 衣溝式電容器丨 拾層。1C工業已經對許多高k 疋订了武驗。最新. 1267898 且最有前景的高k材料為金屬氧化物,如Al2〇3、Hf〇2、 Zr〇2及其混合物,和金屬矽酸鹽如HfSix〇y、ZrSi〇4及其 混合物。在某些情況下,氮可以摻雜到這些金屬氧化物和 金屬石夕酸鹽高k材料(如HfSiON或AlSiON)中以提高介 電ΐ數並抑制鬲k材料的結晶化。例如,高k材料如Hf〇2 的結晶化引起高的漏電流和設備故障。因此,氮的摻雜能 夠明顯提高設備的可靠性。在另一些情況下,沈積兩種或 多種上述材料的層狀結構作為高k電介質層。例如,Hf〇2 接著Ah〇3的層狀結構被用作深溝道式電容器的阻擋層。 南k材料如Ah〇3、Hf〇2和Zr02非常穩定並對大多數 餘刻反應具有抵抗性,這導致它們在其他材料的電漿蝕刻 中可用作兹刻終止層和硬質掩模層。見例如,K. K. Shih 專的 Hafnium dioxide etch-stop layer for phase-shifting masks ’ J. Vac· Sci· Technol. B 11 ( 6 ),第 2 1 30-2 1 3 1 頁 (1993 年),J· A· Britten 等的 “Etch-stop characteristics of SC2O3 and Hf〇2 films for multilayer dielectric grating applications” ,J. Vac. Sci. Technol. A 14( 5),第 2973-2975 頁(1996 年),J· Hong 荨的 Comparision of CI2 and F2 based chemistries for the inductively coupled plasma etching of NiMnSb thin films” ,J. Vac· Sci. Technol. A 17 ( 4),第 1326-1330 頁( 1999 年);Vis okay 等的美國專利 No. 5,972,722 ; Moise 等的美國專利 No. 6,211,035 B1 ; Moise 等的美國專利申請公開文本US2001/0055852 A1 ;和Moise 等的 EP 1,001,459 A2。 1267898 這些高k材料一般由化學前驅物沈積而成,該前驅物 在沈積艙中通過化學氣相沈積(CVD )法反應生成膜。在 某些情況下,這些高k材料通過原子層沈積(ALD )法沈 積在半導體基材(晶片)上,其中這些膜被控制沈積成幾 乎是單原子層。進行ALD的裝置與過程在下列專利文獻中 公開:如Gadgil等的美國專利No· 5,879,459 ; Doering等 的美國專利No· 6,174,377B1 ; Doering等的美國專利申請 公開文本US2001/0011526A1 ; Doering等的美國專利No 6,387,185 B2 ; Doering 等的 WO 00/40772 和 Gadgil 等的 WO 00/79019A1。這些授予Genus公司的同族專利教導 “原位電漿中,允許實現維護清潔之間間隔非常長的時間 (In situ plasma cleans allow the realization of a very long time between maintenance cleaning ) ” (見例如,美國專 利No· 6,387,185 B2第7欄第27-28行)。然而,在上述同 族專利公開的内容中,沒有給出任何用於ALD艙的電漿清 潔方法的細節。 電漿源被用於增強原子層沈積法(PE_ ALD )。例如, Pomarede等在WO〇2M3115 A2中教導利用電漿源產生受激 發的活性粒子,該活性粒子製備/活化基材表面以便於後續 的ALD。Nguyen等在WO02/43114 A2中教導利用脈衝電 聚產生ALD過程代替交替變換前驅物化學流。此外,這些 公開文本沒有公開任何在加工晶片之後清潔ALD殘留物的 方法。 儘管上述高k材料是優異的柵極絕緣體,但是難以乾 Ϊ267898 法餘刻這些膜用於圖形轉移(pattern transfer)。雖然在沈 積過程令人滿意地在基材(一般為矽晶片)上產生高k材 料’但疋形成這些膜的反應在沈積艙内部的其他曝露表面 上同樣非生產性地發生。沈積殘留物的累積導致粒子脫 落、沈積均勻性下降和處理偏差。這些影響導致晶片缺陷 和後續的設備故障。因此,必須定期清潔所有CVD艙和具 體的ALD艙。 由於它們極端的化學惰性,很少人嘗試對這些高k材 料進行乾法蝕刻。J. W· Lee等在“ Electr〇n cycl〇tr〇n resonance plasma etching of oxides and SrS and ZnS-based electroluminescent materials for flat panel displays",J·
Vac· Sci· Technol. A ( 3),第 1944-1948 頁(1998 年)中報 導了一些餘刻各種金屬氧化物和硫化物的化學方法 (chemistries)。該作者使用了非常強的電漿條件(8〇〇w 的微波源功率 '高達450W的RF卡盤(chuck)偏壓功率 和1.5mT〇rr的艙壓)。這種工藝條件的結果是非常高的卡 盤(chuck)偏壓電壓(高達535V)。高的卡盤偏壓電壓能 大大地增強鬲能離子濺射而錢射導致#刻。該作者使用 Ch/Ar、BCh/Ar和SFJAr混合物在嚴格的電漿條件下姓刻 各種材料。Al2〇3顯示出最低的钱刻速率。在大部分試驗· 中,在相同的條件下,AhO3蝕刻速率比ZnS的蝕刻速率小 20% °該作者同樣指出“相當類似的趨勢是:用BCi3/Ar 放電具有的絕對速率比用Ch/Ar小〜20%,,。雖然該作者 的方法可以用於平板顯示器設備的各向異性的蝕刻,但是 ^267898 〇功率電漿濺射不能在接地的艙表面上實現。因此,該作 者的方法不能用於ALD艙中清潔沈積殘留物。 ,WiUiams等在美國專利6,238,582 B1中教導用一種活 随離子束#刻(rIBE)法蝕刻觸頭材料(head mateHals) 如Al2〇3的薄膜。該專利權所有人使用cHF3/Ar電漿作為 離子源。經校準的活性離子束撞擊在晶片基材上以蝕刻薄 膜材料。這種經校準的離子束不能用於從ALD艙清潔沈積 殘留物。
Lagendijk 等在美國專利 N〇s 5,298,〇75 和 5,288,662 中 教導一種“矽的熱氧化或爐管的清潔方法…通過將矽或管 曝露於70(TC以上的溫度下,同時對矽或管吹包含氧氣和 虱代烴的載氣,該氣代烴具有通式為CxHxClx,其中χ為2、 3或4” 。選擇該氯代烴在該溫度下易於並且完全氧化(見 摘要)。矽變成Si〇2的氧化和在氧化物或擴散爐中的吸氣 金屬污染(如Na和Fe )與蝕刻/清潔高k材料是完全不同 的方法。 許多參考文獻討論:為了影響Al2〇3的蝕刻速率,向 電聚中加入某些化合物。這些參考文獻:w. G. M. Van Den
Hoek 的 “The Etch Mechanism for Al2〇3 in Fluorine and
Chlorine Based RF Dry Etch Plasmas" ? Met. Res. Soc.
Symp· Proc·第 68 卷(1986 年),第 71-78 頁,和 Heiman 等的 “ High Rate Reactive Ion Etching of Al2〇3 and Si” , J· Vac· Sci· Tech· 17 ( 3),5 月 /6 月 1980 年,第 731-34 頁,公開了對Ar電漿分別添加氟基氣體或者氯基氣體,以 I267898 ^ 2〇3的㈣速率。然而,這些研究都是在活性離子 观到(RIE)條件下推各μ 比各姐 订的’離子轟擊/濺射誘導反應起著 種^刻反應更重要的作用。像其他現有技術一樣,這 厫的RIE條件不能應用於清潔接地的搶表面。 靡,由於缺乏公開除去高介質殘留物的方法,ALD反 =般通過機械裝置(擦洗或沖洗)以從搶和下游設備 缺列如泵頭和排氣集管)的内部表面上清除沈積殘留物。 而機械清潔方法費時、費力而且損壞被清潔的表面。 / 土於3氣電水的方法(也就是,乾法清潔)通常用於 7學氣相沈積(CVD)反應器的内部表面上除去石夕化合 (如夕曰曰石夕、Si02、SiON和Si3N4 )和嫣的殘留物。在 氟與上述殘留物反應生成如训4或wF6的揮發性物 質k些揮發性物質可以在清潔過程中被抽出反應器。然 單獨用氟基化學物質不能有效除去上述高让介電材 料。見例如J. Hong等的j. Vac Sci。允⑽a第17卷, 第1326-1330頁(1999年);其中作者將塗覆於晶片的Ai2〇3 +路在基於NFs/Ar誘導耦合的電漿中,並且發現:“ 源功率中可得到的較大濃度的原子F有助於較厚的氣基表 面,導致純粹的沈積而不是蝕刻”。在高k材料的情況下, 形成的金屬氟化物產物是非揮發的,因此,難以從反應器 中除去。 〇 這樣,追切需要一種從ALD艙中化學乾法清潔高k材 料殘留物而不必使艙通風/打開的方法,這些殘留物例如 Al2〇3、Hf〇2、Zr〇2、HfSix〇y、ZrSix〇y 及其混合物、包含 1267898 : 2彳A〗2〇3 (也稱為)的高k材料的層壓材 料殘留物、和諸如Hf〇N、A1〇N的含氮高k材料以及在 、彳A10N ( HfAlON )之間的層壓材料的殘留物。有效 的化予乾法清潔方法將明顯增加生產率並降低基於ALD沈 積法的所有權成本(CoO )。 所有這些參考文獻在本文中全文引用以供參考。 發明内容 在本文中公開一種用於從基材上除去一種物質的方法 以及用於貫現該方法的混合物。一方面,提供一種用於從 反應器表面上除去一種物質的方法,包括:提供一個包含 反應器表面的反應器,其中:(a )該反應器表面至少部分 塗覆一層該物質的膜;(b)該物質是選自過渡金屬氧化物、 過度金屬石夕酸鹽、13族金屬氧化物、13族金屬石夕酸鹽、含 氮的13族金屬氧化物、含氮的13族金屬;ε夕酸鹽、含氮的 過渡金屬氧化物、含氮的過渡金屬矽酸鹽中的至少一種, 或者是一種層壓材料,其包括至少一層選自過渡金屬氧化 物、過渡金屬矽酸鹽、13族金屬氧化物、π族金屬矽酸鹽、 含氮的13族金屬氧化物、含氮的13族金屬矽酸鹽、含氮 的過渡金屬氧化物或含氮的過渡金屬矽酸鹽的層;以及(c ) 該物質的介電常數比二氧化矽的介電常數大;該物質與反 應劑反應形成揮發性產物,其中該反應劑包括選自由含鹵 素化合物、含硼化合物、含碳化合物、含氫化合物、含氮 化合物、螯合物、氣代矽烷化合物、氫氯化矽烷化合物或 1267898 有機氣代石夕烧化合物所組成之群中的至少一種·,以及從兮 反應器中除去該揮發性產物,從而從該表面上除去該物質。 另一方面,提供一種用於從反應艙表面上除去一種物 質的方法,包括··提供一個反應艙,其中··該反應艙至少 一部分表面上至少部分塗覆該物質的膜,並且其中該物質 的介電常數為4.1或更大,並且該物質是選自過渡金屬氧 化物、過渡金屬矽酸鹽、13族金屬氧化物、13族金屬矽酸 鹽、含氮的13族金屬氧化物、含氮的13族金屬石夕酸鹽、 含氮的過渡金屬氧化物、含氮的過渡金屬石夕酸鹽中的至少 一種,或者是一種層壓材料,其包括至少一層選自過渡金 屬氧化物、過渡金屬矽酸鹽、13族金屬氧化物、13族金屬 石夕酸鹽、含氮的13族金屬氧化物、含氮的13族金屬石夕酸 鹽、含氮的過渡金屬氧化物或含氮的過渡金屬石夕酸鹽的 層;向該反應艙引入反應劑,其中該反應劑包括選自由含 _素化合物、含硼化合物、含碳化合物、含氳化合物、含 氮化合物、螯合物、氯代矽烷化合物、氫氯化矽烷化合物 或有機氯代石夕烧化合物所組成之群中的至少一種;將反應 劑曝露於一種或者多種能量源中足以使該物質與反應劑反 應’並且形成揮發性產物;以及從該反應艙中除去該揮發 性產物。 本發明的另一方面,提供一種用於從反應器的至少一 個表面上除去一種物質的設備,包括··至少一種選自由含 _素化合物、含硼化合物、含碳化合物、含氫化合物、含 氮化合物、螯合物、氯代矽烷化合物、氫氯化矽烷化合物 12 1267898 或有機氯代矽烧化合物所組成之群中的反應劑;和沈積有 至少一種反應劑的非活性載體。 本發明的更進一步的一方面,提供一種用於從反應器 的至夕一個表面上除去一種物質的混合物,包括:至少一 種選自由含!|素化合物、含硼化合物、含碳化合物、含氫 化合物、含氮化合物、螯合物、氯代矽烷化合物、氫氯化 矽烷化合物或有機氯代矽烷化合物所組成之群中的反應 劑;和惰性稀釋劑。 本發明的另一方面,提供一種用於從基材的至少一個 表面上除去一種物質的方法,包括:提供一個基材,其中: 該基材至少部分塗覆一層該物質的膜;該物質是選自過渡 金屬氧化物、過渡金屬矽酸鹽、除了 Al2〇3以外的13族金 屬氧化物、13族金屬矽酸鹽、含氮的13族金屬氧化物、 含氮的13族金屬石夕酸鹽、含氮的過渡金屬氧化物、含氮的 過渡金屬矽酸鹽中的至少一種,或者是一種層壓材料,其 包括至少-層選自過渡金屬氧化物、過渡金屬輕鹽、Η 族金屬氧化物、13族金屬石夕酸鹽、含氮的13族金屬氧化 物、含氮的Π族金屬石夕酸鹽、含氮的過渡金屬氧化物或含 氮的過渡金屬石夕酸鹽的層;並且該物質的介電常數比二氧 化石夕的介電常數大;該物質與反應劑反應形成揮發性產 物,其中該反應劑包括選自由含齒素化合物、含蝴化合杏 含碳化合物、含氫化合物、含氮化合物、螯合物、氯代 烷化合物、氫氯化矽烷化合物或有機氯代矽烷化合物所 成 之群中的至卜種;以及從該基材上除去該揮發心 13 1267898 物’從而從該基材上除去該物質。 本發明的另一方面,提供一種用於從反應器表面上除 去一種物質的方法,包括:提供一個包含反應器表面的反 應器’其中:(a )該反應器至少部分塗覆一層該物質的膜; (b)該物質是選自過渡金屬氧化物、過渡金屬矽酸鹽、13 族金屬氧化物、13族金屬矽酸鹽、含氮的過渡金屬氧化物、 含氣的過渡金屬矽酸鹽、含氮的13族金屬氧化物、含氮的 13族金屬石夕酸鹽、含氮的過渡金屬氧化物、含氮的過渡金 屬石夕酸鹽中的至少一種,或者是一種層壓材料,其包括至 少一層選自過渡金屬氧化物、過渡金屬矽酸鹽、13族金屬 氧化物、13族金屬矽酸鹽、含氮的過渡金屬氧化物、含氮 的t渡金屬石夕酸鹽、含氮的族金屬氧化物或含氮的13 族金屬矽酸鹽的層;以及(c)該物質的介電常數比二氧化 石夕的介電常數大;該物質與反應劑反應形成揮發性產物, 該反應劑包括至少一種含氟化合物和選自由含氯化合物、 含、/臭化合物或含碘化合物所組成之群中的至少一種,其中 含氣化合物的量小於反應劑的量的5〇體積% ;以及從該反 應器中除去該揮發性產物,從而從該表面上除去該物質。 本發明的這些和其他方面從下列的詳細說明中變得清 楚。 實施方式 本方法有效用於乾法银刻高]^材料和乾法清潔用於將 向k材料沈積到晶片表面上的化學氣相沈積([YD )艙(更 1267898 體也ALD搶)。從被餘刻或清潔的表面上除去的材料, 從固非揮發材料轉變成具有比沈積在表面的高k材料具 有更门揮^ [生的材料,並因隨後此能夠通過例如真空果除 去k樣,本發明利用一種或多種反應劑使物質揮發從而 從基材上除去該物質。肖濕法钱刻和濕法清潔方法不同, J和乾法巧潔方法不將基材浸入或者將基材曝露於 液體化學溶液中。 一在一些實施方案中,要被除去的物質可以是過渡金屬 W ^ '過渡金屬石夕酸鹽、13族金屬氧化物或者13族金 屬石夕酸鹽(根據IUPAC無機化學命名法介紹,1990, 13族 、 括Ga、In和Ti,並且過渡金屬位於3_i2族)。 該物貝可以是具有介電常數比二氧化石夕介電常數更大(也 就是,大於約4.1)、或者大於5或者至少為7的高k材料。 優迖地該物質至少選自Al2〇3、Hf02、Zr02、HfSixOy、 ZrSlx〇y及其混合物所組成之群中的一種。本領域普通技術 員可以理解·公式HfSlx〇y (和公式ZrSixOy )表示Hf〇2 (ΖΓ〇2)和Sl〇2的混合物,其中X大於0並且y是2x+2。 在本發明的另外一些實施方案中,該物質可以是包括 至少選自由下列材料組成之組中的一種材料層的一種層壓 材料·過渡金屬氧化物、過渡金屬矽酸鹽、13族金屬氧化 物、13族金屬矽酸鹽、含氮的過渡金屬氧化物、含氮的過 /度金屬矽酸鹽、含氮的13族金屬氧化物或含氮的13族金 屬矽酸鹽。該層壓材料優選的是至少上述材料的一種和任 選其他材料諸如絕緣材料相互交替。例如,該層壓材料可 15 1267898 以由Hf〇2和Al2〇3的交替層組成。層壓材料也可以由第一 材料的一些層和第二材料的一些層組成,或者,可選的是, 由至少一種第一材料的外層和至少一種第二材料的内層組 成。 表本發明進一步的實施方案中,該物質可以是含氮物 質,如含氮的過渡金屬氧化物、含氮的過渡金屬矽酸鹽、 含氮的13族金屬氧化物或含氮的13族金屬矽酸鹽。這種 物質的實例包括HfAlON。 如上所述,要被除去的物質與反應劑反應形成能夠容 易從基材上除去的揮發性產物。在一些優選的實施方案 中,該反應劑可以曝露於一種或者多種足夠的能量源中以 形成活性粒子,如離子、自由基、受激發的中子等等,這 些活性粒子與該物質反應並且形成揮發性產物。合適的反 應劑的實例包括:含鹵素化合物,如氯化物、溴化物、氟 化物或峨化物、含硼化物、含碳化合物、含氫化合物、含 氮化合物、螯合物、氣代矽烷化合物、氫氯化矽烷化合物、 有機氯代矽烷化合物或其混合物。儘管本文中使用的反應 劑有些時候描述為“氣態的”,但是應該理解為:該化學 試劑可以作為一種氣體直接向反應器輸送,作為一種蒸發 的液體、昇華的固體和/或通過惰性稀釋氣體向反應器輸 送。 该反應劑能通過許多方法向反應器輸送,例如常規的 氣體鋼瓶、安全輸送系統、真空輸送系統、在使用現場產 生遠反應劑的基於固體或者液體的發生器。在本發明的一 16 1267898 個實施方案中,能向非活性液體或者氣體稀釋劑中加入至 少一種反應劑並且用如喷濺或其他方法應用到具有要被除 去的物質的基材上。該反應劑曝露在一種或者多種能量源 下能與該物質反應形成揮發性產物。在另外一個實施方案 中,例如艙的清潔的應用,這種(這些)反應劑能沈積到 引入反應艙的非活性載體上。該非活性載體的材料是一種 在曝露於一種能量源之前或過程中均不與該活性劑反應的 物質。在一些優選的實施方案中,該非活性載體具有許多 孔。在曝露於一種或者多種能量源下,這種(這些)反應 劑旎被釋放出來與要被除去的物質反應形成揮發性產物。 由於這些金屬的氯化物(如A1C13、HfCl4、ZrCl4和 SiCi4)更具有揮發性,優選的是可以將這些高k材料物質 轉變成氣化物。這種轉變通過使要被除去的物質與一種含 氯的反應劑接觸而實現。含氯反應劑的實施包括BCl3、 C0C12、HC1、Cl2、C1F3 和 NFZC13-Z,其中,z 是從 〇 到 2 的整數,氣碳化合物和氯代烴(例如CxHyClz其中χ是從i 到6的數字,y是〇到13的數字,和z是1到μ的數字)。 同時也包含氧-吸氣作用的含氯反應劑,如Bci3、C〇Cl2、 氯碳化合物和氯代烴,在一些實施方案中可能是合適的, 因為在這些分子中的氧_吸氣成分(B、c〇、C或者H)使 氧從高k材料中釋放出來,並且因此增強金屬氧化物和金 屬石夕酸鹽向金屬氣化物轉變。在含氯和氧-吸氣的氣體中, BCI3是最優選的一個。在應用c〇Ci2作為反應劑的實施方 案中’ C0C12可以以製備形式提供,或者通過CO和Cl2的 17 1267898 原位反應形成。在一此告a 士也 氯氣體和含氣氣體(;如二c:、: ’該反應劑可以包含含 ^.«^C1F3,NF 1 在可選的實施方幸中ζ’ΓΖ〇到2的整數。
與一種或多種其他一種戋多籀人^ ^ T U 稷次夕種含_素化合物例如一種 種含氣反應劑結合使用以幫㈣刻並且除去高k材料。儘 官過渡金屬的a化物如卿4和冰的揮發性比相應的氣 化物低,彳人驚奇和令人意想不到的是向含氯反應劑中加 入至少一種含氟反應劑可以提高高介電常數過渡金屬氧化 物的蚀刻速率。在這些實施方案中,該氣體混合物可包括 至少一種小於50體積%的含氟反應劑、至少一種含氯反應 劑、和任選的惰性稀釋氣體。示意性的氣體混合物可包括 至少一種從約1體積%到小於50體積%的含氟化合物和至 少一種從約50體積%到約99體積%的含氯化合物。在引 入反應器之前或之後,這種(這些)含氟反應劑可以與這 種(這些)含氣反應劑結合使用。合適的含氟反應劑的實 例包括NF3 (三氟化氮)、CIF3 (三氟化氯)、ciF(氟化氯)、 SF6 (六氟化硫)、全氟碳化物(perHuorocarbons )如CF4 和 C2F6 等、氫氟礙化物(hydrofluorocarbons)如 CHF3 和 C3F7H等、氧氟碳化物如C4F80(全I四氫吱喃)等、次氣石 (hypofluorites )如 CF3-0F (氟氧三氟曱烧(FTM ))和 F0-CF2-0F (雙-二氟氧-二氟曱烷(BDM))等、氟過氧化 物如CF3_0_0-CF3、F-0_0-F等、氟三氧化物如 CF3-0-0-0-CF3 等、C0F2 (碳醯氟)、N0F、NFXC13-X,其 1267898 疋從1到2的整數,和&等。示意性的氣態混合物包 但不侷限於:犯3和NF3; Bci3#〇 L船3和C1F〆 RCl3和NFxCl3_X,其中x是從1到2的整數。其他含cl_、 或者I-的化合物’例如本文中公開的任何一種化合物, /、含化合物同樣可具有這種增效作用。 …除了本文中描述的反應劑以外,同樣可以加入惰性稀 主氣體如氮孔、C0、氦氣、氖氣、氬氣、氪氣和氙氣等。 性稀釋氣體可調整電㈣性和清潔方法以更好地適合-些具體的應用。惰性氣體的濃度範圍從〇到—。 本發明的方法有效用於钱刻基材表面的物質。因此, :於本發明的蝕刻實施方案的合適基材包括,例如半導體 曰曰片等圖3表不本發明一個實施方案中利用犯3作為反 應劑時,氧化給、氧化銘和氧化錯相對钮刻速率的比較。 本發明同樣適用於從基材如⑽和/或⑽法的反應 艙表面上清潔物質。本發明特別適用於除去沈積在反應艙 曝露表面❹-般反應艙的工件平臺、接地侧壁和/或喷頭 (showerhead)上的高k物質。 一種或者多種反應劑曝露於一種或者多種足夠的能量 源中以產生活性粒子’至少部分該活性粒子與該物質和/或 含硼副產物反應,並形成揮發性物質。用於曝露步驟的能 量源可包括,但不侷限於,α_粒子、p_粒子、γ_射線、x_ 射線、高能電子、能量電子束源、紫外線(波長範圍在1〇 到400納米)、可見光(波長範圍在4〇〇到75〇納米),紅 外線(波長範圍在750到105納米)、微波(頻率>ι〇9Ηζ)、 19 1267898 無線電頻率的波(頻率〉;^6^)能量、熱、RF、DC、電孤 或電暈放電、音波、超音波或兆赫音波(megas〇nic )能量 及其混合。 在一些實施方案中,熱或者電漿啟動和/或促進作用能 明顯影響高介電常數材料乾法蝕刻和乾法清潔的功效。對 於熱啟動’基材能加熱高達600°C、或高達400°C、或高達 300°C、壓力範圍通常為1〇rnToIT到760Torr、或lTorr到 760Torr 〇 在可選的實施方案中,這種(這些)反應劑在原位或 者在包含要被除去的物質的反應器内通過電漿啟動。對於 原位電聚啟動’ 一種方案能產生具有13 56MHzRF電源、 具有RF功率至少為〇 2w/cm2、或者至少為〇」w/cm2、或 者lW/cm2的電漿。一種方案同樣能在RF頻率低於 13.56MHz下操作原位電漿以增強接地ALD艙壁的辅助清 潔的離子。操作壓力範圍通常為25mT〇rr到l〇〇T〇rr、或 者 5mT〇rr 到 5〇T0rr、或者 1〇mT〇rr 到 2〇T〇rr。可任選地, -種方案同樣能結合熱和電裝的促進作用以便更有效地清 潔ALD艙壁。 一種方案也能使用外部電漿源代替原位電漿以產生更 多活性粒子。外部電漿源可以通過rf或者微波源產生。另 外電水產生的/舌性粒子和高k-物質之間的反應可以通過 將ALD反應器部件加熱到高達6〇〇。。、或高達彻。。、或 咼達300C的高溫來啟動/增強。 清潔方法的啟動和促進作用的其他方法也可以應用。 20 1267898 例如,一種方法能使用光子誘導化學反應產生活性粒子並 且增強蝕刻/清潔反應。 下列表顯示用於使要被除去的物質從基材上揮發的各 種反應的熱化學計算。在這些表中,Keq是所寫反應的平衡 常數;因此該值越大,越有利於該反應進行。 表 1 _ Al2〇3 與 BC13 反應:Al2〇3 + 2BC13 ( g ) gB2〇3 + 2A1C13 ( g ) 溫度(c) ΔΗ (Kcal) AS (Cal) AG (Kcal) Keq 0.000 9.561 12.274 6.208 1.078E-005 100.000 9.547 12.249 4.976 1.217E-003 200.000 9.424 11.959 3.766 1.822E-002 300.000 9.299 11.719 2.582 1.036E-001 400.000 9.196 11.553 1.419 3.461E-001 500.000 15.123 19.739 -0.138 1.094E + 000 600.000 15.476 20.169 2.135 3.422E + 000 700.000 15.748 20.464 4·167 8.629E + 000 800.000 15.951 20.664 6.224 1.852E + 001 900.000 16.097 20.794 -8.298 3.515E + 001 1000.000 16.190 20.871 -10.381 6.056E + 001
21 1267898 表 2. Hf02與 BC13 反應:1.5Hf02 + 2BCl3 (g) ^>1.5HfCl4 (g) +Β2〇3 溫度rc) ΔΗ (Kcal) AS (Cal) AG (Kcal) Keq 0.000 -17.999 -12.638 -14.547 4.367E + 011 25.000 18.003 -12.653 -14.231 2.707E + 010 50.000 -18.025 -12.721 -13.914 2.576E + 009 75.000 -18.057 -12.817 -13.595 3.426E + 008 100.000 18.096 12.924 -13.273 5.950E + 007 125.000 -18.138 -13.034 -12.948 1.283E + 007 150.000 -18.182 -13.141 -12.621 3.305E + 006 175.000 -18.226 -13.242 -12.291 9.879E + 005 200.000 -18.268 -13.335 -11.959 3.346E+005 表 3· Zr02與 BC13 反應:1.5Zr02 + 2BCl3 (g) Gl.5ZrCl4 (g) +B2〇3 溫度(°C) ΔΗ (Kcal) AS (Cal) AG (Kcal) Keq 0.000 -29.845 -12.107 -26.538 1.717E + 021 25.000 -29.825 -12.038 -26.236 1.710E + 019 50.000 -29.822 -12.026 -25.935 3.481E + 017 75.000 -29.828 -12.047 25.634 1.239E + 016 100.000 29.842 -12.083 -25.333 6.891E+014 125.000 -29.858 -12.126 -25.030 5.502E+013 150.000 -29.875 -12.168 -24.726 5.913E+012 175.000 -29.892 -12.207 24.422 8.142E+011 200.000 -29.908 -12.240 -24.116 1.381E + 011 22 1267898 表 4.Hf02與 COCl2反應:Hf02 + 2C0C12 (g) eHfCU (g) +2C02 (g) 溫度(c) ΔΗ (Kcal) AS (Cal) AG (Kcal) Keq 0.000 -20.643 41.960 -32.105 4.890E + 025 25.000 20.649 41.940 -33.153 2.014E + 024 50.000 -20.668 41.878 -34.201 1.357E + 023 75.000 -20.699 41.787 -35.247 1.343E + 022 100.000 -20.739 41.677 -36.290 1.806E + 021 125.000 -20.786 41.554 -37.331 3.112E + 020 150.000 -20.840 41.423 -38.368 6.578E + 019 175.000 -20.900 41.285 -39.402 1.647E + 019 200.000 20.965 41.144 -40.432 4.757E + 018 表 5.Zr02與 COCl2反應:ZiO2 + 2C0C12 (g) GZrCl4 (g) +2C02 (g) 溫度(°C) ΔΗ (Kcal) △S (Cal) ΔΟ (Kcal) Keq 0.000 -28.540 42.313 -40.098 1.218E + 032 25.000 -28.530 42.350 -41.157 1.483E + 030 50.000 -28.533 42.341 -42.215 3.573E + 028 75.000 -28.547 42.300 -43.273 1.469E + 027 100.000 -28.569 42.238 -44.330 9.244E + 025 125.000 -28.599 42.160 -45.385 8.215E + 024 150.000 -28.636 42.071 -46.438 9.694E + 023 175.000 -28.678 41.975 -47.489 1.448E + 023 200.000 -28.724 41.873 -48.537 2.638E + 022 23 1267898 表 6. ZrSi04 與 BC13 反應:
ZrSi04 + 2.667BCl3 (g) ^SiCl4 (g) +ZrCl4 (g) +1.333B203 溫度(°c) ΔΗ (Kcal) AS (Cal) AG (Kcal) Keq 0.000 -31.065 -21.096 -25.303 1.764E + 020 25.000 -31.003 20.879 -24.778 1.460E + 018 50.000 -30.962 20.747 -24.258 2.554E + 016 75.000 -30.935 20.665 -23.740 8.020E + 014 100.000 -30.916 -20.613 -23.224 4.013E + 013 125.000 -30.902 -20.577 -22.710 2.928E + 012 150.000 -30.891 -20.549 -22.196 2.914E + 011 175.000 -30.879 -20.523 •21.682 3.755E + 010 200.000 -30.867 -20.496 -21.169 6.012E + 009 225.000 -30.852 -20.466 -20.657 1.158E + 009 250.000 -30.835 -20.432 -20.146 2.612E + 008 275.000 -30.814 -20.393 -19.636 6.754E + 007 300.000 -30.790 -20.349 -19.127 1.967E + 007 325.000 -30.761 -20.300 -18.618 6.358E + 006 350.000 -30.729 20.247 -18.112 2.252E + 006 375.000 -30.692 20.190 -17.606 8.652E + 005 400.000 -30.652 20.130 -17.102 3.572E+005 425.000 30.608 20.066 -16.600 1.573E + 005 450.000 -22.891 9.391 -16.100 7.349E + 004 475.000 -22.663 -9.081 -15.869 4.327E + 004 500.000 -22.443 -8.791 15.646 2.649E+004 24 1267898 表 7. ZrSi04 與 BF3 和 BC13 反應:
ZrSi04+1.333BF3 (g) +1.333BC13 (g) <^SiF4 (g) +ZrCl4 (g) +1.333B203 溫度(°c) ΔΗ (Kcal ) AS (Cal) AG (Kcal) Keq 0.000 25.010 -21.014 -19.270 2.627E + 015 25.000 24.951 -20.807 -18.748 5.540E + 013 50.000 -24.912 -20.681 -18.229 2.136E + 012 75.000 -24.885 20.600 -17.713 1.319E + 011 100.000 -24.865 -20.545 -17.199 1.186E + 010 125.000 -24.849 -20.502 -16.686 1.445E + 009 150.000 -24.833 -20.463 16.174 2.260E + 008 175.000 -24.816 -20.423 15.663 4.354E + 007 200.000 -24.796 -20.380 -15.153 9.992E + 006 225.000 -24.772 -20.332 14.644 2.661E + 006 250.000 -24.745 20.278 -14.136 8.053E+005 275.000 -24.712 -20.218 -13.630 2.721E + 005 300.000 -24.675 20.152 -13.125 1.012E + 005 325.000 24.633 -20.080 -12.622 4.095E + 004 350.000 -24.586 -20.003 -12.121 1.784E + 004 375.000 -24.535 -19.922 -11.622 8.303E + 003 400.000 -24.478 -19.837 -11.125 4.095E + 003 425.000 -24.418 -19.749 -10.630 2.128E + 003 450.000 16.684 -9.050 -10.139 1.160E + 003 475.000 16.439 -8.717 -9.917 7.894E + 002 500.000 -16.201 -8.405 -9.703 5.535E + 002 25 I267898 表1 7顯不· BC13和c〇cl2能用作用於高化材料的乾 法餘刻和清潔的蚀刻劑。Bcl3(三氯化删)在室溫下是液 化乳體並且,易於向ALD反應器中輸送詩搶的清潔。 〃 12 (光氣)優選在原位㈣或沈積反應器中通過將氣態 氧化反和氯氣反應在外部能量源(例如電漿)的幫助下 形成光氣,如下: co (g) + Cl2 (g) ->C0C12 在本心月的另-個實施方案中,如對蝴殘留物敏感的 應用中ta碳化合物和氯氯碳化合物可用 作反應劑,因為這些化合物可包含氯以及氧吸氣成分(C 或H>CC和HCC化合物的通式是CxIiyClz,其巾χ是從1 到6’y是從〇到13,和2是從lflj 14。合適的⑶和腦 化合物的實例包括,但是不侷限於,反式_二氣乙烯c2H2Ci2 (a.k.a. Trans_LC® )、順式_二氯乙烯、i山二氯乙烯、^卜 三氯乙烷(c2h3ci3)、或者四氣乙烯C2Cl4、c4H4Cl4、cHci3 和CC14。-些CC和HCC化合物可與高k金屬氧化物反應 而無需添加氧氣。例如在一些實施方案中,四氣乙稀 (C2C14 )能與Ah〇3反應形成揮發性的副產物,如下: 1.5C2Cl4(g) + Al2〇3~>2AlCl3 ( g) +3C0(g) 表8說明:在溫度高於looot時,熱力學上有利於反應進 行0 26 1267898 表8.反應的熱力學資料 1.5C2C14 (g) +A1203 = 2A1C13 (g) +3C0 (g) τ (°C) ΔΗ (Kcal) AS (Cal) AG (Kcal) Keq 0.000 46.723 157.382 3.734 1.028E-003 100.000 46.760 157.552 -12.031 1.114E + 007 200.000 46.314 156.508 -27.738 6.509E + 012 300.000 45.599 155.144 -43.322 3.317E + 016 400.000 44.704 153.709 -58.765 1.204E + 019 500.000 43.674 152.284 -74.064 8.667E + 020 600.000 42.541 150.907 -89.223 2.160E + 022 700.000 41.340 149.605 -104.248 2.594E + 023 800.000 40.087 148.380 -119.147 1.848E + 024 900.000 38.793 147.228 -133.927 8.948E + 024 1000.000 37.467 146.143 -148.595 3.236E + 025 以上熱化學計算說明這些化學反應的限制條件。除了 該限制條件的反應產物如B203外,在高k材料和BC13之 間的反應中,也能形成中間反應產物如硼的氯氧化物 (B0C1)。中間反應產物如B0C1具有高的揮發性,因此可 以進一步增強高k材料的除去。 其他CC和HCC化合物可能需要添加氧氣以釋放氯而 不形成碳殘留物(煙灰)。例如,反式-二氯乙烯(C2H2C12) (a.k.a. Trnas-LC® )能與 Al2〇3 以 02:C2H2C12 為 2:1 的摩 27 1267898 爾比進行反應: 602 (g) +A1203 + 3C2H2C12 (g) =2A1C13 (g) +6C02 (g) +3H20 (g) 表9顯示在0到1 00°C之間,熱力學上有利於這種反應進 行0 表9.反應的熱力學資料 6〇2 (g) +A1203 + 3C2H2C12 (g) =2A1C13 (g) +6C02 (g) +3H20 (g) τ (°C) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 616.464 77.981 -637.764 1.000E + 308 100.000 -616.428 78.113 -645.576 1.000E + 308 200.000 616.656 77.585 -653.365 6.559E + 301 300.000 617.145 76.654 -661.079 1.257E + 252 400.000 617.872 75.490 -668.688 1.316E + 217 500.000 618.811 74.193 -676.173 1.422E+191 600.000 619.918 72.848 -683.525 1.261E+171 700.000 -621.140 71.523 -690.743 1.380E+155 800.000 622.440 70.253 -697.832 1.340E+142 900.000 -623.784 69.056 -704.796 2.040E+131 1000.000 -625.138 67.947 -711.646 1.485E+122 在以上反應中不希望過量的氧氣,因為過量的02能使 金屬氯化物變回金屬氧化物。防止過量氧氣的更好的方法 是在貧氧條件進行該反應將碳部分氧化成C0。例如, 28 1267898 02:C2H2C12的摩爾比為2:1能導致形成CO和A1C13作為副 產品· 3C2H2C12 (g) +Al2〇3 + 302=2AlCl3 (g) +6CO (g) +3H20 (g) 如表10所示,熱力學上也有利於這種部分氧化反應進行。 表10.反應的熱力學資料 3C2H2C12 (g) +Al2〇3 + 302=2AlCl3 (g) +6CO (g) +3H20 (g) T (°C) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 210.973 200.961 -265.865 5.480E + 212 100.000 -210.103 203.760 -286.136 3.984E+167 200.000 -210.055 203.905 -306.532 3.982E+141 300.000 210.561 202.949 -326.881 4.512E+124 400.000 211.485 201.470 -347.105 5.046E+112 500.000 -212.749 199.725 -367.166 6.267E+103 600.000 -214.276 197.870 -387.046 7.688E+096 700.000 -215.992 196.011 -406.740 2.255E+091 800.000 -217.847 194.197 426.250 6.518E + 086 900.000 -219.797 192.461 -445.582 1.037E+083 1000.000 -221.800 190.822 -464.745 6.097E + 079 可加入氯氣(Cl2)代替氧氣,以防止碳煙灰的形成。 例如C12:C2H2C12的摩爾比為2··1允許以下反應: 2Cl2+Al2〇3 + C2H2Cl2 (g) =2A1C13 (g) +H20 (g) +2CO (g) 29 1267898 同樣:C12:C2H2C12的摩爾比為4:1允許以下反應: 4C12+A1203 + C2H2C12 (g) =3.333A1C13 (g) +H20 (g) +2C02 (g) 如圖11和1 2所示,在熱力學上均有利於這兩個反應進行。 更加希望利用氣氣控制煙灰的形成,因為過量的氯氣有助 於金屬氧化物的氯化。 表11.反應的熱力學資料 2Cl2+Al2〇3 + C2H2Cl2 (g) =2A1C13 (g) +H20 (g) +2CO (g) T (°c) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 10.291 101.403 -17.407 8.479E + 013 100.000 10.619 102.465 -27.616 1.498E + 016 200.000 10.554 102.326 37.861 3.088E + 017 300.000 10.225 101.701 -48.065 2.135E + 018 400.000 9.697 100.855 58.194 7.859E + 018 500.000 9.005 99.900 68.233 1.946E + 019 600.000 8.185 98.904 -78.173 3.701E + 019 700.000 7.277 97.920 -88.014 5.858E + 019 800.000 6.303 96.967 97.758 8.134E + 019 900.000 5.280 96.056 -107.409 1.026E + 020 1000.000 4.224 95.193 116.971 1.205E + 020
30 1267898 表12.反應的熱力學資料 4C12 + A1203 + C2H2C12 (g) =3.333A1C13 (g) +H20 (g) +2C02 (g) τ (°C) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 -44.076 94.797 -69.970 9.734E + 055 100.000 -43.990 95.096 -79.475 3.562E + 046 200.000 -44.229 94.542 -88.962 1.245E + 041 300.000 44.715 93.617 -98.372 3.262E + 037 400.000 -45.399 92.520 -107.680 9.182E + 034 500.000 -46.255 91.338 -116.873 1.096E + 033 600.000 -47.248 90.132 -125.946 3.365E + 031 700.000 48.328 88.961 -134.900 1.988E + 030 800.000 -49.475 87.840 -143.740 1.886E + 029 900.000 50.671 86.775 -152.470 2.550E + 028 1000.000 51.901 85.769 -161.097 4.532E + 027
除了氯化物以外,這些高k材料的溴化物和蛾化物, 如 AlBr3、A1I3、HfBr4、Hfl4、ZrBr4 和 Zrl4 具有的揮發性 與相應的氯化物相似。因此,一些溴化物和峨化物也能用 來蝕刻/清潔這些高k材料。溴和碘離子比氯離子重,因此 溴和碘離子能提供更有效的濺射以加強與高k材料的電漿 輔助姓刻/清潔反應。溴和峨原子具有的表面钻附係數比氯 原子更高。更高的粘附係數導致溴和蛾原子/離子被吸收到 高k材料表面上的概率更高,因此強化溴化/碘化反應。所 31 1267898 希望的溴和碘化合物在分子中可包含氧-吸氣功能。合適的 含漠和破的化合物的實例包括三溴化棚(BBr3 )、三硤化棚 (BI3 )、溴化氫(HBr )、蛾化氫(HI )、溴碳化合物如CBr4、 氫溴碳化合物如反式-二溴乙烯(C2H2Br2)、碘碳化合物如 CI4和氫碘碳化合物如反式二碘乙烯(C2H2I2)等。對於 Hf02,溴和碘化學性質明顯比相應的氯化學性質更有利, 如表13-15所示。 表13反應的熱力學資料 1.5Hf02 + 2BCl3 (g) =1.5HfCl4 (g) +B203 T (°c) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 -17.999 -12.638 14.547 4.367E + 011 100.000 -18.096 -12.924 -13.273 5.950E + 007 200.000 18.268 -13.335 -11.959 3.346E + 005 300.000 18.413 13.614 10.611 1.113E + 004 400.000 -18.507 -13.765 -9.241 1.001E + 003 500.000 -12.540 -5.525 -8.268 2.175E + 002 600.000 -12.126 -5.020 -7.743 8.672E + 001 700.000 11.790 •4.655 -7.260 4.271E + 001 800.000 11.524 -4.395 6.808 2.436E + 001 900.000 -11.321 -4.213 -6.378 1.543E + 001 1000.000 -11.176 -4.094 -5.963 1.056E + 001 32 1267898 表14反應的熱力學資料 1.5Hf02 + 2BBr3 (g) =1.5HfBr4 (g) +B203 T (°C) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 -53.997 10.093 - 51.241 1.003E + 041 100.000 -54.122 -10.459 -50.219 2.602E + 029 200.000 -54.371 11.049 -49.143 5.026E + 022 300.000 54.601 -11.492 -48.014 2.042E + 018 400.000 54.773 -11.770 -46.850 1.629E + 015 500.000 -48.872 -3.621 -46.073 1.058E + 013 600.000 -48.508 -3.178 -45.734 2.806E + 011 700.000 -48.207 -2.851 -45.433 1.600E + 010 800.000 47.960 -2.609 -45.161 1.577E + 009 900.000 -47.761 -2.431 -44.909 2.328E + 008 1 1000.000 -47.606 -2.304 -44.673 4.669E + 007 表15反應的熱力學資料 1.5HfQ2 + 2BI3 (g) =L5HfI4 (g) +B203 T (°c) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 -58.042 -15.921 -53.694 9.212E+042 100.000 -58.342 16.842 52.057 3.104E + 030 200.000 -58.692 -17.675 -50.329 1.775E + 023 300.000 -58.991 -18.250 -48.531 3.214E + 018 400.000 -59.216 -18.614 46.686 1.442E + 015 500.000 -53.362 -10.530 -45.221 6.080E + 012 600.000 -53.042 -10.139 -44.189 1.152E + 011 700.000 -52.784 -9.859 -43.190 5.015E + 009 800.000 -52.581 -9.660 42.214 3.961E + 008 900.000 52.429 -9.524 -41.256 4.856E+007 1000.000 -52.324 -9.438 >40.308 8.315E + 006 33 1267898 同樣,漠和蛾的化學性質在熱力學上也有利於與Al2〇3 和Zr02反應,如表16-18所示。 表16反應的熱力學資料 2ΒΒΓ3 ( g ) + AJ2〇3=2AlBr3 ( g ) + B2O3 T (°C) ΔΗ (Kcal) AS (Kcal) △G (Kcal) Keq 0.000 -2.212 12.687 -5.678 3.493E + 004 100.000 -2.279 12.503 -6.944 1.168E + 004 200.000 -2.482 12.022 8.170 5.945E + 003 300.000 -2.685 11.632 -9.352 3.683E + 003 400.000 -2.852 11.362 10.501 2.567E + 003 500.000 3.023 19.476 -12.035 2.525E + 003 600.000 3.337 19.858 -14.003 3.200E + 003 700.000 3.579 20.122 -16.003 3.928E + 003 800.000 3.764 20.303 -18.024 4.688E + 003 900.000 3.897 20.422 -20.061 5.464E + 003 1000.000 3.985 20.494 -22.107 6.241E + 003 34 1267898 表17反應的熱力學資料 2BBr3 (g) +L5ZrQ2=1.5ZrBr4 (g) +B203 T (°C) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 -44.096 -11.573 -40.935 5.691E + 032 100.000 -44.194 -11.861 -39.768 1.965E + 023 200.000 -44.363 -12.264 -38.560 6.495E + 017 300.000 -44.489 -12.509 -37.320 1.706E+014 400.000 -44.545 -12.600 -36.064 5.125E + 011 500.000 -38.522 -4.282 -35.212 9.000E + 009 600.000 -38.033 -3.686 -34.815 5.186E + 008 700.000 -37.604 -3.220 -34.470 5.520E + 007 800.000 -37.229 -2.853 -34.167 9.096E + 006 900.000 -36.902 -2.561 -33.897 2.067E + 006 1000.000 -36.619 -2.330 -33.653 5.989E 屮 005 表18反應的熱力學資料 2BI3 (g) +1.5Zr02=L5ZrI4 (g) +B203 T (°C) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 -74.430 -11.695 -71.235 1.001E+057 100.000 -74.587 -12.171 -70.045 1.067E+041 200.000 -74.805 12.689 -68.801 6.053E + 031 300.000 -74.972 -13.013 -67.514 5.573E + 025 400.000 -75.065 -13.163 -66.204 3.134E+021 500.000 -69.074 -4.891 -65.293 2.873E+018 600.000 -68.614 -4.330 -64.833 1.695E + 016 700.000 -68.212 -3.894 -64.423 2.947E + 014 800.000 -67.861 -3.549 -64.052 1.110E + 013 900.000 -67.555 -3.276 -63.711 7.411E + 011 1000.000 -67.291 -3.061 -63.394 7.642E + 010 35 1267898 在-些實施方案中’反應劑可以包括整合物。在本文 #種螯合物’是含有至少能與缺電子(例如,路 Μ酸)金屬原子如但不侷限於^則Hf相互作用的 :對富餘電子(例如,路易士驗)對。然而,不要求多個 電子對同時與金屬依次相互作用。同I,螯合物也可以作 為该驗對的隸酸輸送到反㈣中。這些化合物的實例見 吴國專利N。·3,634,477。螯合物進-步的實例包括含氧函 碳化合物’例如氯乙酸、草醯氯等,能與金屬氧化物和金 屬,形成揮發性副產品的已知的養合物或試劑。一些 =思性的螯合物可能具有公式c«H(jXYYs〇s,其中X和Y 是曰鹵素原子F、a、Br和1的-種;α是從1到6的數字, β疋0到13的數字,γ+ δ的總和是1到14的數位,並且ε 是1到6的數字。這些化合物的實例包括六氣戍二晒 (CCl3C(0)CH2C(〇)ccl3) ( a k a Hhfac )、六氯戊二酮 (CC13C(0)CH2C(0)CC13)、六氟丙酮(CF3c(〇)CF3)和六 氯丙酮(CC13C(0)CC13)。例如六氟戊二酮(a k a· Hhfac) (CF3C(0)CH2C(0)CF3,或者 c5H2〇2F6)是能與很多種金 屬氧化物和/或氣化物形成揮發性有機金屬化合物M(hfac)x 的常用的螯合劑,其中M是金屬離子,如A13+、Hf4+和 專运種螯合性肖b此用來增強南k材料的钱刻和舱清 潔。另外,這些分子可以用作氧清除劑以增強高k材料的 氣化。例如,一種為:
Hf02 + C5H202F6+2Cl2 + 02=HfCl4(g) +H20(g) +3COF2(g) +2CO(g) 在本發明的一些實施方案中,Hhfac的氯類似物,六氯戊二 36 1267898 酮(CC13C(0)CH2C(0)CC13 )用作反應劑更有利,因為它既 是氧清除劑也是氣化劑。這些反應同樣能通過熱和/或電漿 啟動幫助進行。例如, C5H2〇2C16+A12〇3 + 0.5〇2=2A1C13 (g) +5C0 (g) +H20 (g) 和 2C5H202Cl6+3Hf02 + 〇2=3HfCl4 (g) +10CO (g) +2H20 (g) 為了防止金屬氯化物的氧化,可以用氯氣代替氧氣·· C5H202Cl6+Al2〇3 + Cl2=2AlCl3 (g) +5C0 (g) +2HC1 (g) 在些貫施方案中’氯石夕院、氫氯珍烧和有機氣碎烧 也是蝕刻/清潔高k材料的有效試劑。部分由於高度穩定的 Si〇2副產物,這些化合物可能既是非常有效的氧清除劑又 是氯化劑。曝露於熱或電漿源中,這些化合物與BC13 一樣 有效地將高k材料轉變成揮發性的氯化物而無硼殘留物污 染的潛在問題。在一些實施方案中,氯矽烷、氫氯矽烷和 有機氯矽烷的通式為SipClqRsHt,其中丨邱幻、 一(s+t) },並且8和丈的取值受叱(s+t)s (邛 的約束並且R是具有丨_8個碳原子的有機基,包括··烴基 (例如甲基、乙基、苯基rt, Ϊ»— -a* I悉p甲本基)、鹵烴基(例如三氯 甲基、三氟甲基、五氟乙基)、鹵代烴基(例如氯甲基、2,4_ 二氟苯基)、氧化烴基(例如T氧基、經乙基、氯甲氧基) 和氮取代烴基部分(例如氨甲基、二甲基氨甲基、t定幻。 示意性的反應包括: 1.5SiCl4 (g) +A1203=2A1C13 (g) +i.5Si02 S1CI4 (g) +Hf02=HfCl4 (g) +si02 37 1267898
SiCl4 (g) +Zr02=ZrCl4 (g) +Si02 02 (g) +2SiHCl3 (g) +A1203 = 2A1C13 (g) +H20 (g) +2Si02 4〇2 (g) +2SiCH3Cl3 (g) +Al2〇3=2AlCl3 (g) +3H20 (g) +28102+20(¾ (g) 熱力學計算顯示,在室溫或者適度的高溫下有利於以上反 應進行,如表19-23所示。 表19反應的熱力學資料 1.5SiCl4 (g) +A1203 = 2A1C13 (g) +1.5Si02 T (°c) ΔΗ (Kcal) AS (Kcal) △G (Kcal) Keq 0.000 32.037 34.471 22.621 7.927E-019 100.000 31.880 33.990 19.196 5.703E-012 200.000 31.647 33.439 15.825 4.895E-008 300.000 31.400 32.967 12.506 1.702E-005 400.000 31.178 32.608 9.228 1.009E-003 500.000 31.009 32.373 5.980 2.039E-002 600.000 31.097 32.475 2.742 2.059E-001 700.000 30.702 32.047 -0.484 1.285E + 000 800.000 30.291 31.645 -3.669 5.587E + 000 900.000 30.612 31.957 -6.878 1.912E + 001 1000.000 30.204 31.623 -10.057 5.327E + 001
38 1267898 表20反應的熱力學資料
SiCl4 (g) + Hf02=HfCl4 (g) +Si02 T (°c) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 2.985 6.373 1.244 1.010E-001 100.000 2.825 5.878 0.631 4.267E-001 200.000 2.636 5.430 0.067 9.314E-001 300.000 2.459 5.089 -0.458 1.495E + 000 400.000 2.317 4.860 -0.955 2.042E + 000 500.000 2.230 4.739 -1.434 2.543E + 000 600.000 2.330 4.857 -1.911 3.009E + 000 700.000 2.110 4.618 -2.385 3.432E + 000 800.000 1.877 4.391 -2.835 3.779E + 000 900.000 2.130 4.633 -3.306 4.129E + 000 1000.000 1.892 4.439 3.759 4.419E + 000 表21反應的熱力學資料
SiCl4 (g) +Zr02=ZrCl4 (g) +Si02 T (°c) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 -4.912 6.726 -6.749 2.516E + 005 100.000 -5.006 6.439 -7.408 2.185E + 004 200.000 5.123 6.160 -8.038 5.164E + 003 300.000 -5.226 5.963 -8.643 1.977E + 003 400.000 -5.288 5.861 -9.233 9.955E + 002 500.000 5.292 5.854 -9.818 5.966E + 002 600.000 -5.106 6.077 -10.412 4.041E + 002 700.000 -5.237 5.936 -11.013 2.975E + 002 800.000 5.375 5.800 -11.600 2.304E + 002 900.000 -5.026 6.129 -12.216 1.887E + 002 1000.000 - 5.163 6.016 -12.823 1.590E + 002 39 1267898 表22反應的熱力學資料 〇2 (g) +2SiHCl3 (g) +Al2〇3=2AlCl3 (g) +H20 (g) +2SiQ2 T (°c) ΔΗ (Kcal) AS (Kcal) △G (Kcal) Keq 0.000 -134.894 4.620 -136.156 8.893E+108 100.000 -135.412 2.993 -136.529 9.339E+079 200.000 135.834 1.989 -136.775 1.521E+063 300.000 -136.187 1.309 -136.938 1.662E + 052 400.000 -136.464 0.863 -137.045 3.145E + 044 500.000 -136.643 0.612 -137.117 5.789E+038 600.000 -136.462 0.826 -137.183 2.187E + 034 700.000 -136.917 0.333 -137.241 6.669E+030 800.000 -137.387 -0.126 -137.251 8.991E+027 900.000 -136.875 0.364 -137.301 3.806E + 025 1000.000 -137.329 -0.008 -137.319 3.752E + 023 表23反應的熱力學資料 4〇2 (g) +2SiCH3Cl3 (g) +A1203=2A1C13 (g) +3H20 (g) +2Si02+2C02 (g) ___ T (°C) ΔΗ (Kcal) AS (Kcal) AG (Kcal) Keq 0.000 -423.175 31.434 -431.762 1.000E+308 100.000 423.093 31.710 -434.925 5.650E + 254 200.000 423.197 31.470 -438.087 2.349E+202 300.000 -423.424 31.038 -441.213 1.797E+168 400.000 -423.714 30.573 -444.294 1.818E+144 500.000 -424.016 30.154 -447.329 2.878E+126 600.000 -424.028 30.132 450.339 5.361E+112 700.000 -424.723 29.380 -453.314 6.510E+101 800.000 425.461 28.658 -456.216 8.264E + 092 900.000 -425.237 28.892 -459.132 3.469E + 085 1000.000 425.990 28.276 -461.990 2.05 IE+ 079 40 ^267898 :外,其他氣化物如叫相關 能 方法蝕刻/清潔高k材料。糸 此用類似 , 給和料高k材料 了心反應物中添加Alcl3明強 和 ZrSix〇y 等的氣化。 & 2 HfSlX〇y 於Hm知7 η 為C13犯用作氧清除劑以便 A1〇cl 2 2等的氯化’同時形成銘的氯氧化物,如 1,匕比A!2〇3更具有揮發性。 除了熱力學上要有利料,化學反應往往需要外部能 Γ克服活化能壘’ &而使反應進行。外部能量源可以 :例如’熱式加熱或電漿啟動。較高溫度能加速化學反應, ”且使反應副產物更具有揮發性。然而,實際上受到產品 沈積艙溫度的限制。電漿能產生更多活性粒子以便於反 f ° t電聚中的離子在電聚護套内通過電場加速獲得能 里。揎擊表面的高能離子能提供克服反應活化能壘所需要 的能量。離子轟擊同樣有助於使反應副產物揮發和清除。 k 一在電水蝕刻/清潔和活性離子蝕刻中是常用的機理。任 選地,一種方案可以結合熱和電漿活化機理以增強乾法蝕 刻/清潔高k材料所需要的反應。作為原位電衆清潔的可選 方案,一種方案可以使用外部電漿源產生更多活性粒子用 於從沈積鈿内清潔南k材料殘留物。另外,外部電聚產生 的活性粒子和尚k材料之間的反應能通過加熱CVD或ALD 反應器部件到高達60(rc、或高達4〇(rc、以及或高達3〇〇 °C的高溫來啟動和/或增強。 圖la和ib是對適合用於分別利用諸如原位電漿或熱 1267898 能量源或者外部能量源對艙進行清潔的設備1〇 2線前頭所不)引入基材30(也就是反應搶),基材30 :有要被除去的物質40、或者諸如所示的腿2的高Μ 二物。、如圖U所示,該物f 4G沈積在反應搶3G内的至少 一部分曝露的表面上,特別是接地的側壁32、噴頭34、工 件平臺36等。該反應劑2〇曝露於外部能量源㈣,例如 所示的RF電源或者加熱器,這樣產生的活性粒子60如 BC13和C1用虛線箭頭表示。活性粒子6〇與物質利反應並 形成揮發性產物70如Hfcl4。如點線箭頭所示,從艘3〇 中除去揮發性產物70。 圖lb提供-個設備100的實例,其中該反應劑⑽也 就是BCl3)曝露於外部能量源15〇如微波源中以在器具/ 共振腔ι15中產生該反應劑的高密度電漿ug。然後,該高 密度電411G达到具有要被清除的物冑(沒有顯示)的基 材130 (也就是反應艙)中並且形成揮發性產沒有顯 示)該揮發性產物通過14〇所示的管線和通過泵16〇的幫 助容易從餘130中除去。 實施例 本發明將參考下列實施例進行更詳細的說明,但是應 該理解為:本發明不受實施例的限制。 以下是利用以上用於高k材料的乾法蝕刻/清潔的化學 物質的實驗性實施例。實施例i到3的實驗在與圖2所示 42 1267898 設置相似的平行的板狀電容率耦合的RF電裝反靡器中進 行。樣品片200從塗覆高k材料Al2〇3、Hf〇2和Zr〇2的晶 片通過原子層沈積法沈積製備。對於每進行_個試驗,樣 品片200放置在載體晶片210上並通過裝载鎖23〇裝入反 應器卡盤(chuck) 220内。處理氣體24〇從頂部開口的喷 頭255進入反應器250。然後,該卡盤22〇通過l3 56MHz RF電源260加電產生電漿(沒有顯示)。通過管線27〇將 反應器250連接到渦輪泵(沒有顯示)上。樣品片上的高 k膜的厚度在曝露於處理電漿中的前後通過橢圓光度法測 量。電漿處理之後高k膜厚的變化用來計算蝕刻速率。除 了餘刻速率以外,同樣測量電漿的直流自偏壓電壓 (Vbias )。在實施例1 _3中,晶片和艘壁均保持在室溫下。 實施例1 : ai2o3樣品的電漿蝕刻/清潔 由於在電漿钱刻/清潔中,功率是一個關鍵的工藝參 數’我們評價功率對通過BCh電漿進行Al2〇3蝕刻的相關 性。結果列於下表24。 表24· RF功率對通過BC13電漿進行Al2〇3蝕刻的相關性 功率(W) 功率密度 (W/cm2) 壓力(mTorr) A1203蝕刻速 率(nm/min) V偏壓(V) 50 0.27 500 0.0 16 100 0.55 500 3.0 35 200 1.10 500 9.8 58 43 1267898 ”、、員然’對於蚀刻Al2〇3,存在閥值功率密度為〇·55 W/cm2 或閥值V偏壓為35v〇較高的功率密度和較高的V偏磨導致較 高的蝕刻速率。 接著’我們研究艙壓對通過bci3電漿進行ai2o3蝕刻 的相關性。結果在下面的表2 5中列出。 過BC13 «進行Al2〇3 _的相關性
在減壓下獲得較高的蝕刻速率。在減壓下存在兩個有 利於钱刻反應的因f。第_,在較低壓下,較高的偏壓電 壓導致更多⑧能離子轟擊以有助於關反應克服活化能 ^第一,車乂低壓力導致反應副產物較快解吸附和擴散。 較高的V偏®也增強高台隹雜工、也 减间此離子濺射。為了敍述活性離子蝕刻 和物理錢射的貝獻,典4門4丨 我們利用純氬氣電漿進行比較。結果 列於下表26。 1267898 表26· Al2〇3的氬氣電漿蝕刻 功率(W) 功率密度 (W/cm2) 壓力(mTorr) A1203蝕刻速 率(nm/min) V偏壓(V) 200 1.10 5 0.6 173 200 1.10 50 1.0 189 200 1.10 500 -0.4 185 資料顯示,即使在比BC13電漿高得多的功率和相對高 的V偏壓下,純氬氣電漿基本上也不蝕刻AhO3 〇這意味著: 物理濺射可能不是蝕刻Al2〇3的主要機理。相反,離子轟 擊增強的化學蝕刻或者活性離子的蝕刻(RIE )可能是主要 的機理。 在固定RF激發頻率(如ΐ3·56ΜΗζ)下,表24和25 中的資料顯示:例如,較高的功率和較低的壓力能增加偏 壓電壓,偏壓電壓又可增強高k材料的化學蝕刻。較低地 壓力和較高的功率對增強塗覆有高k膜基材的電漿蝕刻特 別有效。 一個方案也能在較低頻率下操作RF電聚。穿過電聚護 套的離子躍遷在較低頻率下經常呈現雙峰能量分佈。雙峰 離子能量分佈導致大量具有較高能量的離子撞擊到反應器 表面。這對於增強從接地ALD艙表面上電漿清潔高k沈積 殘留物是有效的策略。 實施例2 ·· Hf02樣品的電漿蝕刻/清潔 45 1267898 在500mTorr的壓力下,在50到200W之間的所有功 率水準下進行Hf02的蝕刻。結果列於下表27。 表27. Hf02的BC13電漿蝕刻 功率(W) 功率密度 (W/cm2) 壓力(mTorr) Al2〇3蝕刻速 率(nm/min) V偏壓(V) 50 0.27 500 1.6 14 50 0.27 500 1.4 16 100 0.55 500 4.7 34 200 1.10 500 14.7 63 實施例3 : Zr02樣品的電漿蝕刻/清潔 用500mToir的壓力和在50到200W之間的所有功率 水準下,對Zr02進行一些試驗。結果列於下表28。 表28. ZK)2的BC13電漿蝕刻 功率(W) 功率密度 (W/cm2) 壓力(mTorr) Al2〇3蝕刻速 率(nm/min) V偏壓(V) 50 0.27 500 0.3 16 100 0.55 500 -0.38* 32 100 0.55 500 -2.5* 45 200 1.10 500 7.1 65 *曝露於電漿中一分鐘之後,膜變厚。 46 I267898 圖3表示在soomTorr的艙壓和lW/cm2的RF功率密 度下,高k材料Hf〇2、AhO3和Zr〇2的BCI3電漿蝕刻速率 的相對比較。可以看出,在這三種高k材料中,Hf〇2具有 最南的餘刻速率,並且Zr〇2具有最低的蝕刻速率。 實施例4和5說明高k材料的BCI3熱韻刻/清潔,圖4 疋為實施例4和5設置的試驗的示意圖。在這種反應艙3〇〇 中,能在頂部電極320上應用RF電源31〇,並且低電極33〇 和艙壁340接地。在蝕刻/清潔試驗過程中,該反應器可用 RF電漿和熱式加熱進行運轉。在實施例4和5中僅僅使用 熱式加熱。低電極/基架330可以通過AC電加熱器35〇加 熱,並且由溫度控制器360控制。低電極/基架33〇的溫度 範圍從室溫到高達70(rc。樣品360和載體晶片37〇放置 在低電極/基架3 3 0上。樣品表面溫度大約比低電極設定點 環境氣氛低5 0 C。樣品製備和測試過程與實施例i到3中 的相似。引入樣品之後,該反應器排氣,並且打開加熱器 35〇。當低電極330達到設定點時,向該艙3〇〇引入工作氣 體380到没定壓力。樣品曝露於工作氣體3⑽中一段設定 的夺間。通過連接到泵(沒有顯示)的管線39〇排放工作 氣體380並且從反應艙3〇〇回收樣品36〇進行測試。 貫^例4 · Al2〇3樣品的熱钱刻/清潔 太利肖BC13作為餘刻劑進行一些Al2〇3樣品的熱姓刻/ 月絮式•驗藝參數是低電極的溫度、搶壓和BC13流速。 結果列於表29。 47 1267898 表29 Al2〇3的BC13熱蝕刻 低電極的設定溫度 (°C) 艙壓(Torr) BC13流速 (seem ) 钱刻速率 (nm/min) 200 100 100 0.0 350 25 100 0.1 350 100 100 0.2 350 100 100 0.2 350 100 0 0.3 350 200 100 0.3 350 400 100 0.7 600 100 100 0.6 實施例5 : Hf02樣品的熱蝕刻/清潔 利用BC13作為蝕刻劑進行一系列類似Hf02樣品的熱 蝕刻/清潔試驗。工藝參數是低電極溫度、艙壓和BC13流 速。結果列於表3 0。 表30 Hf02的BC13熱蝕刻 低電極的設定溫度 re) 驗壓(Torr) BC13流速 (seem ) 蝕刻速率 (nm/min) 200 100 100 0.0 350 25 100 0.1 350 100 100 0.6 350 100 100 0.6 350 100 0 0.6 350 200 100 1.1 350 400 100 2.4 600 100 100 1.1 48 1267898 圖5在恒讀壓和Bcl3流速下,檢驗㈣速率對低 極的溫度的相關性。可善山 '電 可以看出,溫度增加時,八12〇3和Hm 的颠刻速率均增加。友相鬥你 2 曰力在相同條件下,励2的蝕刻速率比 A12〇3更高。 圖6在恒定的低電極設定溫度和Μΐ3流速下,檢 刻迷率對艙壓的相關性。可以“,在較高壓力下,_ 二低電極溫度為約⑽下,增加艙壓是增力: =速率更有效的方法。此外,在相同條件 刻速率比ai2o3更高。 町蚀 表29和30的資料顯示:餘刻速率和Bci3流速之間沒 :強的相關性。這意味著一種方案可以在連續的触 =(如BCl3)H在設定壓力^無氣流的靜態艙内進行 熱蝕刻/清潔。 對比例1:Al2〇3、腿2和吨樣品的吼電㈣刻/清潔 在與如圖2所示的相同設備中,並按照如實施例μ 3相同的方法’利用8.7標準立方㈣(sccm)NF々 49.3Sccm He進行一系列電漿試驗。在 合禋功率密度和艙壓 下,測試三種不同的高k材料Al2〇3、Hfn 4 π 3 Hf〇2 和 Zr〇2。詳細 的式驗條件和結果在表3 1中提供。如矣 邪衣31的結果所示, 較高功率密度和低艙壓導致較高的蝕刻 习速率。在一些情況 下’存在較高的偏壓電Μ,導致更加高能的離子A擊以有 助於蚀刻反應克服活化能叠並增強高能離子的物理減射。 較低擒壓也可能導致反應副產物的快迷解吸附和擴散。盘 49 1267898 前面實施例產生的BC13電漿試驗結果相比,NF3電漿無論 對Al2〇3、Hf02或Zr02樣品而言均具有明顯低的蝕刻速率。 表31 : A1203、Hf02或ZK)2樣品的NF3電漿蝕刻 樣品 功率密度 (W/cm2) 壓力(mTorr ) 1 虫刻速率 (nm/min ) V偏壓(V) A1203 1.1 100 11 590 Al2〇3 1.1 250 4 541 ai2〇3 1.1 500 2 456 ai2〇3 1.1 1000 0.1 310 A1203 0.55 500 0.1 260 Al2〇3 0.27 500 0 130 Hf〇2 1 250 5 550 Hf02 1 500 4 456 Hf02 1 1000 -0.2 310 Hf02 0.55 500 0.3 260 Hf02 0.27 500 -0.1 130 Zr02 1 500 1 456 Zr02 1 1000 -0.2 310 Zr02 0.55 500 0.1 260 Zr02 0.27 500 0 130 實施例6 ··利用BC13和NF3混合物進行Hf02、Zr02和 HfSixOy樣品的電漿餘刻 50 1267898 用Ecu和NF3混合物測試三種不同高k材料Hf〇2、 r〇2和HfSix〇y的餘刻速率。對於财〇2,BCl3的流速是
Osccm並且調# NFs的流速以獲得吼對BCl3的不同體 積比二對於Zr〇2和HfSix〇y,總流速是W,並且相應 地调即BC13和nf3的流速以獲得NF3對BCl3的不同體積 =、對於所有進行的試驗,功率密度為並且搶 壓為500mTorr,使用如圖2所示的相同設置並按照如實施 例1到3以及對比例1相同的方法進行試驗。 B圖7a到7c說明在α和NI?3混合物中恥不同的百分 杏里刀別與Hf〇2、HfSix〇y、Zr〇2钱刻速率的關係。與純 的哪相比較,Hf〇2(如圖7a所示)和腦為(如圖几 所不)的蝕刻速率幾乎分別為25體積%的吼和Μ體積 %的NF3的兩倍。 圖7c說明在向BCl3中加入不同的NF3的條件下的 吨㈣速率。在沒有NF3的情況下,在給定電衆條件為 功率密度| 0.55W/cm2並且艙壓為500mT〇rr下,Bc “不丨 除去zr〇2材料。實際上,ZK)2曝露於哪中工分鐘之j 厚度增加。然而,在添加NF3的情況下,發生蝕刻。盥抓 和⑽叫類似,對於⑽而言,在約2〇體積%的呢 時’存在最大餘刻速率。 雖然本發明參考具體的實施例進行了詳細的說明,作 疋應該理解為:本領域普通技術人員能作各種改變和修改 而不偏離本發明的精神和範圍。 51 1267898 圖式簡單說明 内部能量源或者外部能 進行本發明方法的裝置 圖1 a和1 b是對適合分別利用 量源對艙進行清潔的設備的說明。 圖2是對利用電漿作為能量源 的說明。 圖3是對各種高介電常數材料相對的犯3電_刻速 率的圖示說明,其中以Al2〇3為標準。 圖4是對利用加熱作為能量源進行本發明方法 的說明。 、 圖5是對在恒定的艙壓和Bcl3流速下、姓刻速率同較 低電極/基架的設置溫度的關係的說明。 圖6是對在恒定的低電極設置溫度和Bci3流速下、蝕 刻速率隨著艙壓的關係的說明。 圖7a到7c說明本發明的一個實施方案中,在Bet和 NF3的混合物中,不同的NF3百分含量下,Hf〇2、HfSix〇y 和Zr〇2各自的敍刻速率。 主要元件之符號說明 10、100··設備;20、12〇••反應劑;30、13〇、3〇〇··反應艙; 32··側壁;34、255.·喷頭;36··工件平臺;40··物質; 50、150··外部能量源;6〇··活性粒子;7〇··揮發性產物; 11 〇.·南密度電漿;115··共振腔;140、270、390··管線; 160_·泵;200··樣品片;210、370··載體晶片;220··卡盤; 230••裝載鎖;240.·處理氣體;250··反應器; 52 1267898 260、310..RF電源;320.·頂部電極;330.·低電極; 340··艙壁;350.·加熱器;360.·回收樣品;380··工作氣體 53
Claims (1)
1267898 十、申請專利範圍: 1· 一種用於從反應器表面上除去一種物質的方法,該 方法包括: 提供一個包含反應器表面的反應器,其中:(a)該反 應器至少部分塗覆一層該物質的膜;(b)該物質是選自過 渡金屬氧化物、過渡金屬矽酸鹽、13族金屬氧化物、13族 金屬矽酸鹽、含氮的13族金屬氧化物、含氮的13族金屬 石夕酸鹽、含氮的過渡金屬氧化物、含氮的過渡金屬石夕酸鹽 中的至少一種,或者是一種層壓材料,其包括至少一層選 自過渡金屬氧化物、過渡金屬矽酸鹽、13族金屬氧化物、 13族金屬矽酸鹽、含氮的13族金屬氧化物、含氮的13族 金屬碎酸鹽、含氮的過渡金屬氧化物或含氮的過渡金屬石夕 酸鹽的層;以及(c )該物質的介電常數比二氧化矽的介電 常數大; 該物質與反應劑反應形成揮發性產物,其中該反應劑 包括選自由含_素化合物、含硼化合物、含碳化合物、含 氫化合物、含氮化合物、螯合物、氯代矽烷化合物、氫氯 化石夕烧或有機氯代石夕燒化合物所組成之群中的至少一種; 以及 從該反應器中除去該揮發性產物,從而從該表面上除 去該物質。 2·如申請專利範圍第1項的方法,其中該反應器是原 子層沈積反應器。 54 1267898 3 ·如申請專利範圍第1項的方法,其中該物質至少選 自 Al2〇3、Hf02、Zr02、HfSixOy、ZrSix〇y 中的至少一種, 其中x大於0並且y是2x+2,以及上述任何一種含氮化 合物。 4·如申請專利範圍第1項的方法,其中該反應劑至少 選自 BC13、COCl2、Hcn、Cl2、C1F3、叫、F2 和 NFzCl3 z 所組成之群中的一種,其中,Z是從〇到2的整數。 5如申睛專利範圍第4項的方法,其中該反應劑是由 CO和Cl2原位反應形成的c〇ci2。 6 ·如申請專利範圍第4項的方法,其中該反應劑是 BC13。 7·如申請專利範圍第1項的方法,其中該反應劑是具 有公式為CxHyClz的含碳化合物,其中x是從i到6的數 字’ y是〇到13的數字,和z是1到14的數字。 8如申請專利範圍第1項的方法,其中該反應劑從氣 體鋼瓶安王輪送系統或真空輸送系統向該物質輸送。 9如申請專利範圍第1項的方法,其中該反應劑由現 55 1267898 場使用的發生器原位形成。 10 ·如申請專利範圍第1項的方法,其中該反物質與 具有惰性氣體稀釋劑的反應劑接觸。 11 ·如申請專利範圍第1項的方法,其中該反應劑包 括含_素化合物的混合物。 12 ·如申請專利範圍第1項的方法,其中該含鹵素化 合物的混合物包括至少一種含氣反應劑和至少一種小於5 0 體積%的含氟反應劑。 13 · —種用於從反應艙的至少一部分表面上除去一種 物質的方法,該方法包括: 提供一個反應槍,其中:該反應艙至少一部分表面上 至少部分塗覆該物質,並且其中該物質的介電常數為4· 1 或更大,並且該物質是選自過渡金屬氧化物、過渡金屬矽 酸鹽、13族金屬氧化物、13族金屬矽酸鹽、含氮的13族 金屬氧化物、含氮的13族金屬矽酸鹽、含氮的過渡金屬氧 化物、含氮的過渡金屬矽酸鹽中的至少一種,或者是一種 層壓材料,其包括至少一層選自過渡金屬氧化物、過渡金 屬矽酸鹽、13族金屬氧化物、I3族金展石夕酸鹽、含氮的 13族金屬氧化物、含氮的13族金屬石夕酸鹽、含氮的過渡 金屬氧化物或含氮的過渡金屬矽酸鹽的層; 56 1267898 向該反應艙引入反應劑,其中該反應劑包括選自由含 鹵素化合物、含硼化合物、含碳化合物、含氫化合物、含 氮化合物、螯合物、氣代矽烷化合物、氫氯化矽烷化合物 或有機氣代矽烷化合物所組成之群中的至少一種; 將反應劑曝露於一種或者多種足以使該物質與反應劑 反應的能量源中,並且形成揮發性產物;以及 從該反應艙中除去該揮發性產物。 a 14.如申請專利範圍第13項的方法,其中該反應劑從鲁 氣體鋼瓶、安全輸送系統或真空輸送系統向該物質輸送。 15·如申請專利範圍第13項的方法,其中該反應劑由 使用現場的發生器原位形成。 16 ·如申请專利範圍第13項的方法,其中該物質與具 有惰性氣體稀釋劑的反應劑接觸。 17.如中請專利範圍第13項的方法,其中該反應劑沈 積到非活性載體上。 a.如申請專利範圍第13項的方法,其中該反應劑曝 露於-種或多種能量源中並且在引入之前進行該曝露步 57 1267898 19.如申請專利範圍帛13項的方法,其中該反應劑曝 露於-種或多種能量源中並且在至少—部分引人過程中進 行該曝露步驟。 如申咕專利範圍第13項的方法,其中該曝露步驟 的溫度至少為150。(:。 21·如申請專利範圍第13項的方法,其中該曝露步驟 的壓力至少為lOmTorr。 22 ·如申請專利範圍第13項的方法,其中該反應劑包 括含函素化合物的混合物。 23 ·如申請專利範圍第13項的方法,其中該混合物包 括至少一種含氯反應劑和至少一種小於5〇體積%的含狀 反應劑。 24 · —種用於從反應器的至少一個表面上除去一種物 質的設備,該設備包括:至少一種選自由含_素化合物、 含侧化合物、含碳化合物,含氫化合物、含氮化合物、螯 合物、氯代石夕烧化合物、氫氣化碎烧化合物或有機氯代石夕 烧化合物所組成之群中的反應劑;和 沈積有至少一種反應劑的非活性載體。 58
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/723,714 US7357138B2 (en) | 2002-07-18 | 2003-11-26 | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200518178A TW200518178A (en) | 2005-06-01 |
TWI267898B true TWI267898B (en) | 2006-12-01 |
Family
ID=34465714
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW093135906A TWI267898B (en) | 2003-11-26 | 2004-11-22 | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
Country Status (7)
Country | Link |
---|---|
US (1) | US7357138B2 (zh) |
EP (1) | EP1538235A1 (zh) |
JP (1) | JP2005175466A (zh) |
KR (1) | KR100667723B1 (zh) |
CN (1) | CN100372055C (zh) |
SG (2) | SG135203A1 (zh) |
TW (1) | TWI267898B (zh) |
Families Citing this family (544)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004225152A (ja) * | 2003-01-27 | 2004-08-12 | Tokyo Electron Ltd | 基板処理方法および半導体装置の製造方法 |
JP4723503B2 (ja) * | 2003-05-30 | 2011-07-13 | 東京エレクトロン株式会社 | 高k誘電体材料をエッチングするための方法とシステム |
US20050153563A1 (en) * | 2004-01-14 | 2005-07-14 | Lam Research Corporation | Selective etch of films with high dielectric constant |
JP2005268292A (ja) * | 2004-03-16 | 2005-09-29 | Toshiba Corp | 半導体装置の製造方法 |
US20050241670A1 (en) * | 2004-04-29 | 2005-11-03 | Dong Chun C | Method for cleaning a reactor using electron attachment |
US20050241671A1 (en) * | 2004-04-29 | 2005-11-03 | Dong Chun C | Method for removing a substance from a substrate using electron attachment |
US20060017043A1 (en) * | 2004-07-23 | 2006-01-26 | Dingjun Wu | Method for enhancing fluorine utilization |
US7581549B2 (en) * | 2004-07-23 | 2009-09-01 | Air Products And Chemicals, Inc. | Method for removing carbon-containing residues from a substrate |
US7179759B2 (en) * | 2004-09-30 | 2007-02-20 | Taiwan Semiconductor Manufacturing Company | Barrier layer and fabrication method thereof |
JP4836112B2 (ja) * | 2004-12-24 | 2011-12-14 | 国立大学法人京都大学 | 半導体処理装置のクリーニング方法およびシリコン基板のエッチング方法 |
US7509962B2 (en) * | 2005-01-21 | 2009-03-31 | Tokyo Electron Limited | Method and control system for treating a hafnium-based dielectric processing system |
US20060183055A1 (en) * | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
US7485580B2 (en) * | 2005-09-20 | 2009-02-03 | Air Products And Chemicals, Inc. | Method for removing organic electroluminescent residues from a substrate |
US20080047579A1 (en) * | 2006-08-25 | 2008-02-28 | Bing Ji | Detecting the endpoint of a cleaning process |
US8986456B2 (en) * | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
TWI412080B (zh) * | 2006-11-09 | 2013-10-11 | Ulvac Inc | The method of forming a barrier film |
JP5110987B2 (ja) * | 2007-07-05 | 2012-12-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法およびコンピュータ読み取り可能な記録媒体 |
JP5297615B2 (ja) * | 2007-09-07 | 2013-09-25 | 株式会社日立ハイテクノロジーズ | ドライエッチング方法 |
JP2009076590A (ja) * | 2007-09-19 | 2009-04-09 | Hitachi Kokusai Electric Inc | クリーニング方法 |
KR20100071961A (ko) * | 2007-09-19 | 2010-06-29 | 가부시키가이샤 히다치 고쿠사이 덴키 | 클리닝 방법 및 기판 처리 장치 |
JP4994197B2 (ja) * | 2007-11-16 | 2012-08-08 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
JP5226452B2 (ja) * | 2008-10-09 | 2013-07-03 | 大日本スクリーン製造株式会社 | チャンバ洗浄方法 |
US20100112191A1 (en) * | 2008-10-30 | 2010-05-06 | Micron Technology, Inc. | Systems and associated methods for depositing materials |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
WO2010129289A2 (en) * | 2009-04-28 | 2010-11-11 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) * | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
KR20120090996A (ko) | 2009-08-27 | 2012-08-17 | 어플라이드 머티어리얼스, 인코포레이티드 | 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법 |
US8778464B2 (en) * | 2009-11-04 | 2014-07-15 | Tel Solar Ag | Method of removing contamination from a reactor |
JP5655296B2 (ja) * | 2009-12-01 | 2015-01-21 | セントラル硝子株式会社 | エッチングガス |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8901016B2 (en) * | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
WO2014094103A1 (en) * | 2012-12-18 | 2014-06-26 | Seastar Chemicals Inc. | Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8894870B2 (en) * | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
JP6114636B2 (ja) * | 2013-06-06 | 2017-04-12 | 東京エレクトロン株式会社 | 乾燥装置及び乾燥処理方法 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US20150111394A1 (en) * | 2013-10-23 | 2015-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming uniform film on semiconductor substrate |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US20170069472A1 (en) * | 2014-03-24 | 2017-03-09 | Imec Vzw | Method for cleaning a process chamber |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) * | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10381227B2 (en) | 2014-12-18 | 2019-08-13 | The Regents Of The University Of Colorado, A Body Corporate | Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6604738B2 (ja) * | 2015-04-10 | 2019-11-13 | 東京エレクトロン株式会社 | プラズマエッチング方法、パターン形成方法及びクリーニング方法 |
KR102465801B1 (ko) * | 2015-05-22 | 2022-11-14 | 주식회사 히타치하이테크 | 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
JP6761166B2 (ja) * | 2015-07-23 | 2020-09-23 | セントラル硝子株式会社 | ウェットエッチング方法及びエッチング液 |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10256108B2 (en) | 2016-03-01 | 2019-04-09 | Lam Research Corporation | Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
WO2017213842A2 (en) | 2016-05-23 | 2017-12-14 | The Regents Of The University Of Colorado, A Body Corporate | Enhancement of thermal atomic layer etching |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
CN109463005B (zh) * | 2016-06-03 | 2023-12-15 | 恩特格里斯公司 | 二氧化铪和二氧化锆的气相蚀刻 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10208383B2 (en) | 2017-02-09 | 2019-02-19 | The Regents Of The University Of Colorado, A Body Corporate | Atomic layer etching processes using sequential, self-limiting thermal reactions comprising oxidation and fluorination |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
JP6980406B2 (ja) * | 2017-04-25 | 2021-12-15 | 株式会社日立ハイテク | 半導体製造装置及び半導体装置の製造方法 |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US20180350571A1 (en) * | 2017-06-05 | 2018-12-06 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
US20180347037A1 (en) * | 2017-06-05 | 2018-12-06 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
US12076763B2 (en) | 2017-06-05 | 2024-09-03 | Applied Materials, Inc. | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor |
CN109023302B (zh) * | 2017-06-12 | 2020-11-10 | 北京北方华创微电子装备有限公司 | 一种成膜设备及其原位清洗方法 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20200039827A (ko) * | 2017-09-11 | 2020-04-16 | 어플라이드 머티어리얼스, 인코포레이티드 | 반응성 가스 전구체를 사용한 프로세싱 챔버로부터의 하이-k 막들의 선택적 인-시튜 세정 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
KR102476262B1 (ko) * | 2017-12-14 | 2022-12-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 에칭 잔류물이 더 적게 금속 산화물들을 에칭하는 방법들 |
JP6902991B2 (ja) | 2017-12-19 | 2021-07-14 | 株式会社日立ハイテク | プラズマ処理装置 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
JP7093656B2 (ja) * | 2018-03-22 | 2022-06-30 | レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | エッチング方法および半導体素子の製造方法 |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11137536B2 (en) | 2018-07-26 | 2021-10-05 | Facebook Technologies, Llc | Bragg-like gratings on high refractive index material |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
CN112640062B (zh) * | 2018-09-05 | 2024-04-12 | 株式会社国际电气 | 清扫方法、半导体装置的制造方法、记录介质和基板处理装置 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
CN113767453B (zh) | 2020-04-03 | 2023-12-12 | 株式会社日立高新技术 | 等离子处理装置以及等离子处理方法 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
US11226446B2 (en) * | 2020-05-06 | 2022-01-18 | Facebook Technologies, Llc | Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
JP2022063748A (ja) | 2020-10-12 | 2022-04-22 | 東京エレクトロン株式会社 | 埋め込み方法及び成膜装置 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
KR20220101830A (ko) * | 2021-01-12 | 2022-07-19 | 에스케이스페셜티 주식회사 | 금속산화물 반도체 물질의 증착 챔버의 세정 방법 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
KR20220166786A (ko) * | 2021-06-09 | 2022-12-19 | 주식회사 히타치하이테크 | 반도체 제조 방법 및 반도체 제조 장치 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4030967A (en) * | 1976-08-16 | 1977-06-21 | Northern Telecom Limited | Gaseous plasma etching of aluminum and aluminum oxide |
US5288662A (en) | 1992-06-15 | 1994-02-22 | Air Products And Chemicals, Inc. | Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning |
KR100293830B1 (ko) | 1992-06-22 | 2001-09-17 | 리차드 에이치. 로브그렌 | 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법 |
US5637153A (en) | 1993-04-30 | 1997-06-10 | Tokyo Electron Limited | Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus |
US5454903A (en) | 1993-10-29 | 1995-10-03 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization |
US5900103A (en) | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US5756400A (en) | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
GB9626329D0 (en) | 1996-12-19 | 1997-02-05 | British Nuclear Fuels Plc | Improvements in and relating to the storage, transportation and production of active fluoride |
US6174377B1 (en) | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US6379575B1 (en) * | 1997-10-21 | 2002-04-30 | Applied Materials, Inc. | Treatment of etching chambers using activated cleaning gas |
US5972722A (en) | 1998-04-14 | 1999-10-26 | Texas Instruments Incorporated | Adhesion promoting sacrificial etch stop layer in advanced capacitor structures |
US6211035B1 (en) | 1998-09-09 | 2001-04-03 | Texas Instruments Incorporated | Integrated circuit and method |
JP2000200779A (ja) | 1998-10-30 | 2000-07-18 | Toshiba Corp | エッチング方法,化学気相成長装置,化学気相成長装置のクリ―ニング方法,及び化学気相成長装置用の石英部材 |
KR100279907B1 (ko) * | 1998-12-31 | 2001-03-02 | 김동성 | 반도체 장치의 제조공정시 챔버의 평균 클리닝 주기를증가시키기 위한 챔버 시즈닝 처리방법 |
US6238582B1 (en) | 1999-03-30 | 2001-05-29 | Veeco Instruments, Inc. | Reactive ion beam etching method and a thin film head fabricated using the method |
JP2003502878A (ja) | 1999-06-24 | 2003-01-21 | ナーハ ガジル、プラサード | 原子層化学気相成長装置 |
JP2002025991A (ja) * | 2000-07-13 | 2002-01-25 | Fuji Electric Co Ltd | プラズマクリーニング方法、半導体装置の製造方法 |
US6689220B1 (en) | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
US6613695B2 (en) * | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
JP4163395B2 (ja) * | 2001-05-17 | 2008-10-08 | 株式会社日立国際電気 | 半導体装置の製造方法および半導体装置の製造装置 |
EP1460678A4 (en) | 2001-07-31 | 2010-01-06 | Air Liquide | CLEANING METHOD AND APPARATUS AND METHOD AND APPARATUS FOR ETCHING |
JP4374854B2 (ja) * | 2001-12-25 | 2009-12-02 | 東京エレクトロン株式会社 | 処理装置及びそのクリーニング方法 |
JP3806868B2 (ja) | 2002-01-07 | 2006-08-09 | 株式会社日立製作所 | Cvd装置のクリーニング方法 |
JP3891848B2 (ja) * | 2002-01-17 | 2007-03-14 | 東京エレクトロン株式会社 | 処理装置および処理方法 |
JP2003218100A (ja) * | 2002-01-21 | 2003-07-31 | Central Glass Co Ltd | 混合クリーニングガス組成物 |
US6806095B2 (en) * | 2002-03-06 | 2004-10-19 | Padmapani C. Nallan | Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers |
JP4099092B2 (ja) | 2002-03-26 | 2008-06-11 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法、高速ロータリバルブ |
JP3897165B2 (ja) | 2002-07-02 | 2007-03-22 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
US20040011380A1 (en) * | 2002-07-18 | 2004-01-22 | Bing Ji | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
US20040014327A1 (en) | 2002-07-18 | 2004-01-22 | Bing Ji | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
-
2003
- 2003-11-26 US US10/723,714 patent/US7357138B2/en not_active Expired - Fee Related
-
2004
- 2004-11-22 EP EP04027638A patent/EP1538235A1/en not_active Withdrawn
- 2004-11-22 TW TW093135906A patent/TWI267898B/zh not_active IP Right Cessation
- 2004-11-24 SG SG200706288-8A patent/SG135203A1/en unknown
- 2004-11-24 SG SG200407219A patent/SG112101A1/en unknown
- 2004-11-25 KR KR1020040097222A patent/KR100667723B1/ko not_active IP Right Cessation
- 2004-11-26 CN CNB2004101005704A patent/CN100372055C/zh not_active Expired - Fee Related
- 2004-11-26 JP JP2004342118A patent/JP2005175466A/ja active Pending
Also Published As
Publication number | Publication date |
---|---|
JP2005175466A (ja) | 2005-06-30 |
CN100372055C (zh) | 2008-02-27 |
CN1638028A (zh) | 2005-07-13 |
EP1538235A1 (en) | 2005-06-08 |
KR100667723B1 (ko) | 2007-01-15 |
TW200518178A (en) | 2005-06-01 |
US7357138B2 (en) | 2008-04-15 |
US20040129671A1 (en) | 2004-07-08 |
SG112101A1 (en) | 2005-06-29 |
KR20050050579A (ko) | 2005-05-31 |
SG135203A1 (en) | 2007-09-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI267898B (en) | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials | |
TWI285685B (en) | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials | |
US20040011380A1 (en) | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials | |
US7055263B2 (en) | Method for cleaning deposition chambers for high dielectric constant materials | |
KR101070666B1 (ko) | 클리닝 방법 및 기판 처리 장치 | |
JP4985928B2 (ja) | 多層コート耐食性部材 | |
KR100699763B1 (ko) | 층상 초격자 물질을 사용한 반도체 공정 장비의 내부부품의 보호 방법 | |
CN108866509A (zh) | 用于腔室部件的金属氧氟化物膜 | |
CN101378850A (zh) | 加强用于介电膜层的远程等离子体源清洁 | |
JP2006041523A (ja) | フッ素利用率を増大させる方法 | |
US7485580B2 (en) | Method for removing organic electroluminescent residues from a substrate | |
TWI411662B (zh) | Cleaning gas | |
JPH07508313A (ja) | プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法 | |
WO1999008805A1 (en) | Plasma cleaning and etching methods using non-global-warming compounds | |
WO2005095268A1 (ja) | F2含有ガスの製造方法及びf2含有ガスの製造装置、並びに物品の表面を改質する方法及び物品の表面の改質装置 | |
JP2009503270A (ja) | 表面沈着物を除去するためのnf3の使用方法 | |
US20100186774A1 (en) | Cleaning method and substrate processing apparatus | |
TWI475611B (zh) | 選擇性蝕刻及二氟化氙的形成 | |
US20080047579A1 (en) | Detecting the endpoint of a cleaning process | |
TWI849369B (zh) | 金屬膜之原子層沉積裝置 | |
Hellriegel et al. | Feasibility study for usage of diluted fluorine for chamber clean etch applications as an environmental friendly replacement of NF3 | |
Gelfond et al. | Deposition of Ir nanostructured thin films by pulse CVD |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |