KR20120075397A - 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법 - Google Patents

템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법 Download PDF

Info

Publication number
KR20120075397A
KR20120075397A KR1020110142924A KR20110142924A KR20120075397A KR 20120075397 A KR20120075397 A KR 20120075397A KR 1020110142924 A KR1020110142924 A KR 1020110142924A KR 20110142924 A KR20110142924 A KR 20110142924A KR 20120075397 A KR20120075397 A KR 20120075397A
Authority
KR
South Korea
Prior art keywords
template
metal oxide
hardmask
film
hard mask
Prior art date
Application number
KR1020110142924A
Other languages
English (en)
Other versions
KR101866567B1 (ko
Inventor
하정석
히데아키 후쿠다
신타로 카이도
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20120075397A publication Critical patent/KR20120075397A/ko
Application granted granted Critical
Publication of KR101866567B1 publication Critical patent/KR101866567B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법은, 기판 위에 형성된 포토레지스트 또는 무정형 탄소로 구성된 템플레이트을 제공하는 공정과, 화학식 SixM(1-x)Oy [여기서, M은 하나 이상의 금속 원소를 나타내고, x는 0을 포함하는 1 미만이며, y는 대략 2이거나 화학양론적으로 측정된 수이다]을 갖는 물질로 구성된 템플레이트 위에 금속 산화물 하드마스크를 원자층 증착(ALD)으로 증착시키는 공정을 포함한다.

Description

템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법{METHOD OF FORMING METAL OXIDE HARDMASK}
본 발명은 반도체 집적회로의 제조에 관한 것으로, 보다 상세하게는 금속 산화물의 하드마스크(hardmask), 특히 스페이서 필름(spacer film)을 형성하는 방법에 관한 것이다.
본 출원은 미국의 35 USC 119(e)의 규정에 의해 미국 특허청에 2010년 12월 28일자로 출원된 가출원(provisional application) 제61/427,661호의 이익을 청구하며, 이의 기재내용은 전문이 본원에서 참고문헌으로 포함된다.
사진석판술 기술(photolithography technology)은 최근에 서브마이크론(submicron) 수준 보다 작은 피치를 갖는 패턴을 형성하는 어려움에 직면해 왔다. 각종 접근법들이 연구되어 왔으며, 유망한 방법들 중의 하나는 공간-한정된 이중 패턴화(SDDP)인데, 이는 통상적인 석판술의 제한, 예를 들면 광원 파장 및 고 지수 침지 유체를 능가하는 협소한 피치(pitch)를 생성할 수 있다. 일반적으로, SDDP는 하나의 정각 스페이서 필름(conformal spacer film) 및 하드마스크 템플레이트(hardmask template)을 필요로 하며, 여기서 정각 스페이서 필름은 일반적으로 볼록한 패턴을 갖는 템플레이트 위에 증착된다. 규소 산화물 층은 일반적으로 정각 스페이서로 사용되며, 하드마스크 템플레이트은 전형적으로 스핀-온(spin-on) 또는 CVD 공정에 의해 제조된 포토레지스트(photoresist; PR) 또는 무정형 탄소(a-C)로 구성된다.
하기에서 토의하는 바와 같이, 본 발명의 발명자들은 SDDP에서 몇가지 문제점들을 인식하였고 이에 대한 해결책을 개발하였으며, 이 해결책은 또한 일반적인 패턴화 공정에 적용할 수 있다. 따라서, 본 발명은 하드마스크를 사용하는 일반적인 패턴화 공정, 및 특히 SDDP에서의 개선점에 관한 것이다.
관련 기술에 포함되는 문제점 및 해결책에 대한 어떠한 토의도 단지 본 발명에 대한 정황을 제공할 목적으로 본 기재내용에 포함시켰으며, 이러한 토의의 어떠한 것 또는 모두가 본 발명이 이루어진 시점에 공지되었다는 것을 인정하는 것으로 고려하여서는 안된다.
SDDP 공정 유동에서, 스페이서 필름은, 전형적으로 하부 항반사 피복(BARC) 또는, BARC 기능을 갖는 하드마스크인 기저 필름(base film)에 대하여 에칭-선택성(etch-selective)인 것이 필요하다. 기저 필름은 전형적으로 CVD에 의해 형성되는 SiO, SiOC, TiN-HM 등과 같은 물질에 의해 전형적으로 구성된다. 전형적으로 스페이서 필름으로서, 저온에서 원자층 증착(ALD)에 의해 형성되는 저온 SiO 필름(LT-SiO)이 사용된다. 그러나, LT-SiO는 기저 필름에 대하여 충분한 에칭(건식 및/또는 습식)을 갖지 않아서 예측하지 않은 중요한 치수(CD) 변화 등을 유발한다.
대부분의 금속 산화물/질화물이 SiO에 대하여 에칭-선택성인 것으로 공지되어 있다. 일부 금속 산화물은 건식 에칭에 의해서는 거의 에칭되지 않는다. 즉, 반도체 집적화 및 또한 반응기 세척에 대한 상당한 걱정이 존재한다. 예를 들면, Al2O3는 유망한 후보 물질인데, 그 이유는 실온에서도 100% 정각 필름 프로파일을 가지며 기저 필름에 대하여 높은 에칭 선택성을 갖기 때문이다. 그러나, Al2O3는 건식 에칭 및/또는 습식 에칭에 의해서 거의 에칭되지 않는 것으로 공지되어 있어서, Al2O3가 스페이서 물질로서 사용되는 것을 금지한다.
더욱이, 스페이서 필름은, 템플레이트이 포토레지스트로 구성되는 경우 낮은 증착 온도, 예를 들면 150℃ 미만, 또는 템플레이트이 무정형 탄소로 구성되는 경우 300℃ 미만에서 형성될 필요가 있다. 기타 경우에는, 템플레이트은 스페이서 필름의 증착 동안에 열에 의해 손상될 수 있으며, 추가로 온도가 400℃ 정도로 높은 경우, Cu 또는 B가 장치, 예를 들면, 절연 필름, 전선 또는 트랜지스터로 확산되거나 이동되는 현상이 일어날 수 있다. 즉, 스페이서 필름은 템플레이트과 상용성일 필요가 있다. 또한, 스페이서 필름은 실질적으로 100% 정각성이고 실질적으로 아무런 패턴 부하 효과(pattern loading effect)(예를 들면, 패턴의 밀도 또는 패턴의 피치가 상이한 경우에도 측벽 위에서 실질적으로 동일한 두께)를 갖지 않을 필요가 있다. 통상적인 스페이서 필름은 상기 기준을 충족하지 않는다. 또한, 반도체 가공 공정에서 일반적으로 사용되지 않는 V 또는 Nb와 같은 금속은 양호한 후보일 수 없다.
많은 그룹들이 정각 SiN 증착을 연구해 왔지만, 이들의 시도는 정각 SiN 필름을 수득하기 위한 해결책을 성공적으로 제공해오지 못했다. 400℃ 이하의 저온에서, SiN 공정은 정각 필름("정각"은 Ts/Tt > 약 95%를 나타내며, 여기서 Ts 및 Tt는 각각 측벽 및 상부 표면에서의 두께이다)을 형성하며, 저온 SiN 공정은 0.1 nm/min 미만과 같은 극히 낮은 성장 속도에서 수행한다.
본 발명자들은, 패턴화된 스페이서 붕괴(patterned spacer collapsing)의 문제점인 SDDP에서의 다른 문제점을 여전히 인식해 왔다. 도 1은 (a) 포토레지스트 (PR) 패턴화, (b) PE-ALD에 의한 SiO 증착, (c) 반응성 이온 에칭(RIE), 및 (d) PR 애쉬(ashing)의 SDDP의 일부를 설명하는 개략도이다. 이 도면은 단지 상기한 문제점을 해결하기 위한 것이며 통상적인 기술을 필수적으로 나타내지는 않는다. 도 1에 나타낸 바와 같이, 단계(a)에서, PR 2 (템플레이트)은 기저 필름(1) (BARC 또는 하드마스크) 위의 패턴으로 형성되며, 이의 하부에 에칭되는 표적 필름(target film; 4)이 형성된다. 단계(b)에서, 스페이서 필름(3)은 PR(2) 및 기저 필름(1) 위에 증착되고 이를 덮는다. 단계(c)에서, 이방성 스페이서 에칭이 수행되며, 결과적으로, PR(2)의 상부 및 기저 필름(1)이 노출되어, 노출된 PR(2') 및 스페이서(3')를 형성한다. 단계(d)에서, 노출된 PR(2')는 에칭에 의해 제거되며, 결과적으로 스페이서(3')가 붕괴되어 패턴을 열화(deteriorating)시킨다.
붕괴의 주 원인은 건조 잔류 세정 공정 동안의 모세관 힘 및 템플레이트(PR 2')가 애슁(ashing)에 의해 제거된 후의 물인 것으로 나타난다. 이러한 패턴 붕괴 문제는, 패턴이 서브마이크론 정도로 협소해지고 1 이상과 같은 더 큰 종횡비를 갖는 경우에 더 심각해진다. 도 2는 건조 공정 동안에 발생된 모세관 힘을 설명하는 개략도이다. 모세관 힘σmax (스페이서에 발휘되는 최대 응력)은 하기 수학식으로 나타내어 진다(참조: Y. Matsui et al., ISSM 2010, Tokyo, PO-O-103):
Figure pat00001

γ : H2O의 표면장력
θ : H2O 접촉 각
D : 공간 폭
H : 높이
W : 폭
도면에서, 스페이서(21)는 기저 필름(23) 위에 형성되며, 스페이서(21)들 사이의 공간(22)은 물로 채워진다. 채워진 물의 상부는 건조됨에 따라 오목해진다. 스페이서(21) 위에 가해지는 최대 응력은 종횡비(H/W) 및 접촉각(θ)에 주로 의존한다.
이러한 문제점을 해결하기 위한 한 가지 시도는 소수성 물질을 사용하여 모세관 힘을 감소시키는 것이다. 그러나, SDDP에 대한 스페이서의 표면의 소수성을 유지시키는 것은 매우 어려운데, 그 이유는 소수성 물질이 사용되는 경우에도, 애슁(전형적으로 산소 플라즈마, N2O 플라즈마, 및 CO2 플라즈마와 같은 산화제 플라즈마에 템플레이트을 노출시킴으로써) 후에, 표면이 친수성으로 용이하게 변하기 때문이며, 그 이유는 친수성 O-H가 공기 노출 후에 산화된 표면에 용이하게 발생하기 때문이다.
위에서 토의한 바와 같이, 본 발명자들은 SDDP에서 수개의 문제점들을 인식하였고 이에 대한 해결책을 개발하였다. 이 해결책은 또한 일반적인 패턴화 공정에 적용할 수 있다. 본 발명의 일부 양태는 상기한 문제점들 중의 하나 이상에 대한 해결책을 제공하며, 일부 양태는 상기한 문제점들 모두에 대한 해결책을 제공한다.
본 발명은 기판 위에 형성된 포토레지스트 또는 무정형 탄소로 구성된 템플레이트를 제공하는 공정; 화학식 SixM(1-x)Oy [여기서, M은 적어도 하나 이상의 금속 원소를 나타내고, x는 0을 포함하는 1 미만이며, y는 대략 2이거나 화학양론적으로 측정된 수이다]을 갖는 물질로 구성된 템플레이트 위에 금속 산화물 하드마스크를 원자층 증착(ALD)에 의해 증착시키는 공정;을 포함하는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법을 제공한다.
이때, 상기 금속 산화물 하드마스크는 스페이서 필름인 것에도 그 특징이 있다.
게다가, 상기 스페이서 필름이 템플레이트 위에 증착된 후에, 스페이서-한정 이중 패턴화(SDDP)를 수행하는 공정을 더 포함하는 것에도 그 특징이 있다.
뿐만 아니라, 상기 M은, 불화물이 금속 산화물 하드마스크를 증착시키기 위해 사용된 반응기를 세척하기 위한 온도에서 100 Pa 이상의 증기압을 갖는 금속인 것에도 그 특징이 있다.
나아가, 상기 M은 Ti, W 또는 Ta인 것에도 그 특징이 있다.
여기서, 상기 M은 Ti인 것에도 그 특징이 있다.
더구나, 상기 금속 산화물 하드마스크는 TiO2 로 이루어진 것에도 그 특징이 있다.
더불어, 상기 ALD는 플라즈마 강화 ALD(PE-ALD)인 것에도 그 특징이 있다.
그리고, 상기 ALD는, 무정형 탄소로 구성된 템플레이트에 대하여 300℃ 이하의 온도에서 수행되거나, 포토레지스트로 구성된 템플레이트에 대하여 150℃ 이하의 온도에서 수행되는 것에도 그 특징이 있다.
아울러, 상기 ALD는, SiO2로 구성된 SiO2 하드마스크에 대하여 설정된 것과 사실상 동일한 조건하에 수행되고, 이때, M을 함유하는 기체가 SiO2 하드마스크에 대하여 Si를 함유하는 기체 대신에 사용되는 것에도 그 특징이 있다.
또한, 상기 금속 산화물 하드마스크는 SiO2 하드마스크 보다 적어도 3배 이상 더 큰 탄성 모듈러스를 갖고, SiO2 하드마스크 보다 적어도 2배 이상 더 큰 경도를 갖는 것에도 그 특징이 있다.
이때, 상기 금속 산화물 하드마스크는 SiO2 하드마스크 보다 작은 건식 식각률(dry etch rate)을 갖고, 표준 열적 산화물과 비슷한 습식 식각률(wet etch rate)를 갖는 것에도 그 특징이 있다.
게다가, 상기 기판은 템플레이트하에 형성된 기저 필름을 갖고, 이때, 상기 기저 필름은 규소 산화물로 이루어진 것에도 그 특징이 있다.
뿐만 아니라, 상기 템플레이트는 포토레지스트 또는 무정형 탄소로 구성된 볼록 패턴을 갖고, 이때, 볼록 패턴은 1 마이크론 미터 미만의 폭 및 1 이상의 높이/폭 비를 갖는 것에도 그 특징이 있다.
나아가, 상기 금속 산화물 하드마스크의 증착은, 펄스에서 금속 산화물 하드마스크에 대한 전구체를 제공하는 공정과, 상기 전구체의 펄스 사이에 펄스에서 RF 전력을 인가하는 공정을 포함하며, 이때, 상기 RF 전력이 인가된 동안에 적어도 하나 이상의 반응 기체가 공급되며, 상기 전구체는 금속 함유 알킬아미노 화합물 또는 금속 함유 알콕시 화합물인 것에도 그 특징이 있다.
여기서, 상기 반응 기체는 질소 함유 기체를 포함하는 것에도 그 특징이 있다.
그리고, 상기 금속 산화물 하드마스크는 금속 산화물의 원자층과 규소 산화물의 원자층이 교대로 적층된 적층물로 이루어진 것에도 그 특징이 있다.
아울러, 상기 금속 산화물 하드마스크는 상기 템플레이트와 동일한 필름 응력을 갖는 것에도 그 특징이 있다.
도 1은 (a) 포토레지스트 (PR) 패턴화, (b) PE-ALD에 의한 SiO 증착, (c) 반응성 이온 에칭(RIE), 및 (d) PR 애슁의 SDDP 순서의 부분을 설명하는 개략도.
도 2는 건조 공정 동안에 발생된 모세관 힘을 설명하는 개략도.
도 3은 (a) 반응성 이온 에칭(RIE) 및 (b) 애슁이 수행되는 경우의 동일계내 풋팅 감소(footing reduction)를 설명하는 개략도.
도 4는 SDDP 공정을 개략적으로 설명하는데, 여기서 (a)는 하드마스크 위에 형성된 예비-패턴화된 특징부의 개략적인 단면도이고, (b)는 정각 스페이서 증착의 개략적인 단면도이고, (c)는 이방성 스페이서 에칭의 개략적인 단면도이고, (d)는 예비-패턴화된 특징부의 제거의 개략적인 단면도이고, (e)는 이방성 에칭에 의한 패턴 이동의 개략적인 단면도이고, (f)는 이동된 패턴 프로파일의 개략적인 단면도이며, (g)는 스페이서의 개략적인 단면도.
도 5는 실시예에서 증착된 각각의 스페이서 필름의 탄성 모듈러스 및 경도를 나타낸 도면.
도 6은 본 발명의 하나의 양태에서 이용가능한 스페이서 필름을 증착시키기 위한 PE-ALD 장치의 개략도.
도 7은 본 발명의 하나의 양태에 따르는 PE-ALD의 하나의 사이클의 공정 순서를 나타낸 도면.
도 8a는 비교 실시예에 따르는 패턴 이동 및 표적 에칭의 개략도, 도 8b는 본 발명의 양태에 따르는 패턴 이동 및 표적 에칭의 개략도.
도 9는 본 발명의 하나의 양태에 따르는 스페이스 한정된 이중 패턴화(SDDP)를 사용하는 패턴 이동 및 표적 에칭의 개략도.
도 10은 본 발명의 양태에 따르는 TiO2/(TiO2+SiO2)의 ALD 사이클 비와 관련하여 굴절률(633 nm에서)과 평균 성장속도(nm/사이클) 사이의 관계를 나타내는 그래프.
본 발명의 하나의 양태는, (i) 기판 위에 형성된 포토레지스트 또는 무정형 탄소로 구성된 템플레이트을 제공하는 공정과, (ii) 화학식 SixM(1-x)Oy [여기서,M은 하나 이상의 금속 원소를 나타내고, x는 0을 포함하여 1 미만이고, y는 대략 2 또는 화학양론적으로 측정된 수이다]을 갖는 물질로 구성된 템플레이트(template) 위에 금속 산화물 하드마스크를 원자층 증착(ALD)에 의해 증착시키는 공정을 포함하여, 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법을 제공한다. "하드마스크"는 "연질" 마스크를 사용하여 정의된 패턴이 하드마스크와 비교하여 플라즈마 에칭 동안에 신속하게 열화될 수 있는 정도로 산소, 불소, 염소 또는 기타 반응성 기체에 의해 용이하게 에칭되는 경향이 있는 중합체 또는 기타 유기 "연질" 물질(표적 필름) 대신에 에칭 마스크로서 임의의 반도체 가공에서 사용된 물질을 나타낸다.
일부 양태에서, 금속 산화물 하드마스크는 스페이서 필름이다. 일부 양태에서, 스페이서 필름은 스페이서-한정 이중 패턴화(SDDP)에 대한 것이며, 이 방법은 스페이서 필름을 템플레이트 위에 증착시키는 단계 후에 SDDP를 수행함을 추가로 포함한다.
일부 양태에서, M은, 플루오라이드가 금속 산화물 하드마스크를 증착시기기 위해 사용된 반응기를 세정하기 위한 온도에서 100 Pa 이상의 증기압을 갖는 금속이다. 일부 양태에서, M은 Ti, W 또는 Ta이다. 일부 양태에서, M은 Ti이다. 일부 양태에서, 금속 산화물 하드마스크를 구성하는 물질은 TiO2이다.
일부 양태에서, ALD는 플라즈마 증진된 ALD (PE-ALD)이다. 일부 양태에서, ALD는 무정형 탄소로 구성된 템플레이트에 대해서는 300℃ 이하의 온도에서 수행하거나 포토레지스트로 구성된 템플레이트에 대해서는 150℃ 이하의 온도에서 수행한다. 일부 양태에서, ALD는 SiO2에 의해 구성된 SiO2 하드마스크에 대해서 설정된 것과 실질적으로 동일한 조건하에서 수행하며, 여기서 M을 함유하는 기체는 SiO2 하드마스크에 대하여 Si를 함유하는 기체 대신에 사용한다. 일부 양태에서, 금속 산화물 하드마스크는 SiO2 하드마스크의 탄성 모듈러스(elastic modulus)보다 3배 이상 큰 탄성 모듈러스를 가지며, SiO2 하드마스크의 경도보다 2배 이상 큰 경도를 갖는다. 일부 양태에서, 금속 산화물 하드마스크는 SiO2 하드마스크의 건식 식각률(dry etch rate) 보다 더 낮은 건식 식각률, 및 표준 열적 산화물(standard thermal oxide)의 습식 식각률(wet etch rate)과 동등하거나 비슷한 습식 식각률을 갖는다.
일부 양태에서, 기판은 템플레이트 하부에 형성된 기저 필름을 가지며, 이러한 기저 필름은 규소 산화물(silicon oxide)로 구성된다. 일부 양태에서, 템플레이트은 포토레지스트 또는 무정형 탄소로 구성된 볼록한 패턴을 가지며, 여기서 볼록한 패턴은 1 마이크론 미터 미만의 폭 및 1 이상의 폭에 대한 높이의 비를 갖는다.
본 발명의 국면 및 관련 기술에 비해 성취된 이점을 요약할 목적으로, 본 발명의 특정한 목적 및 이점이 본 기재내용에 기술된다. 물론, 이러한 목적 또는 이점 모두가 본 발명의 어떠한 특정한 양태에 따라 반드시 성취될 수 있는 것은 아니라고 이해하여야 한다. 따라서, 예를 들면, 당해 분야의 숙련가들은, 본 발명은, 본원에 교시되거나 제안될 수 있는 기타 목적 또는 이점을 필수적으로 성취하지 않고 본원에 교시된 하나의 이점 또는 이점들의 그룹을 성취하거나 최적화하는 방법으로 구현하거나 실시할 수 있음을 인지할 것이다.
본 발명의 추가의 국면, 특징 및 이점은 다음의 상세한 설명으로부터 명백해질 것이다.
본 발명의 이러한 특징 및 기타 이점은 이제 본 발명을 설명하기 위한 것이지만 이를 제한하려는 것은 아닌 바람직한 양태들의 도면을 참조로 하여 기술될 것이다. 이러한 도면은 설명할 목적으로 지나치게 간략화되며 절대적인 크기는 아니다.
본 기재내용에서, "기체"는 증발된 고체 및/또는 액체를 포함할 수 있으며 기체들의 혼합물로 구성될 수 있다. 이러한 기재내용에서, 반응 기체, 첨가제/캐리어 기체, 및 전구체(precursor)는 기체 유형 면에서 서로 상이하거나 상호간에 배타적일 수 있으며, 즉 이들 카테고리들 중에서 기체들의 중첩이 존재하지 않는다. 일부 양태에서, "필름"은 실질적으로 핀홀 없이 두께 방향에 대하여 수직인 방향으로 연속적으로 연장되어 전체 표적 또는 관련된 표면을 덮는 층, 또는 단순히 표적 또는 관련된 표면을 덮는 층을 의미한다. 일부 양태에서, "층"은 필름의 표면 또는 동일한 것 위에 형성된 특정 두께를 갖는 구조를 나타낸다. 추가로, "하나(a)"는 하나의 종 또는 다수의 종을 포함하는 종류를 나타낸다. 본 기재내용에서, 특정의 한정된 의미는 일부 양태에서 통상적이고 일반적인 의미를 필수적으로 배제하지는 않는다.
본 기재내용에서, "실질적으로", "더 큰", "실질적으로 상이한" 등은 예를 들면, 적어도 10%, 50%, 100%, 200%, 300%, 또는 이의 임의의 범위의 차이를 나타낸다. 또한, 본 기재내용에서, "실질적으로 동일한", "실질적으로 균등한", "실질적으로 균일한" 등은 예를 들면, 20% 미만, 10% 미만, 5% 미만, 1% 미만, 또는 이의 임의의 범위의 차이를 나타낸다. 본 실시예들에 적용된 수치는 기타 조건에서 적어도 ±50%의 범위로 수정될 수 있으며, 추가로 본 기재내용에서, 나타낸 임의의 범위는 최종점을 포함하거나 배제할 수 있다. 조건 및/또는 구조가 명시되지 않은 본 기재내용에서, 당해 분야의 숙련가는, 통상적인 실험화의 문제로서, 본 기재내용의 관점에서, 이러한 조건 및/또는 구조를 용이하게 제공할 수 있다.
일부 양태에서, 하드마스크를 사용하는 패턴화 공정에서 위에서 토의한 문제점들 중의 하나 이상 또는 모두를 해결하기 위하여, 하드마스크 물질이 선택된다. 일부 양태에서, 하드마스크 물질은 예를 들면, 다음 기준들 중의 하나 이상 또는 전부를 사용하여 후보물질로부터 선택된다: 물질은 높은 기계적 강도를 가지며, 낮은 건식 및/또는 습식 식각률을 갖지만, 불소 기체로 에칭시킬 수 있으며, 이의 불화물은 반응기-세척 온도에서 고체가 아니며, 추가로 이는 400℃ 이하와 같은 저온에서 ALD에 의해 증착될 수 있다.
일부 양태에서, 하드마스크 물질은 다음 기준들 중의 하나 이상 또는 모두를 사용하여 선택할 수 있다:
1) 하드마스크 물질은 통상적인 SiO 하드마스크의 기계적 강도보다 더 큰 기계적 강도를 갖는다. 예를 들면, 상기 물질로 구성된 ALD로 형성된 하드마스크는 저온, 예를 들면, 150℃에서 ALD에 의해 형성된 통상적인 SiO 하드마스크의 것보다 실질적으로 더 크고 저온, 예를 들면, 150℃에서 ALD에 의해 형성된 통상적인 SiN 하드마스크의 것과 적어도 실질적으로 동일한 탄성 모듈러스를 갖는다. 또한, 상기 물질로 구성된 ALD에 의해 형성된 하드마스크는 저온, 예를 들면, 150℃에서 ALD에 의해 형성된 통상적인 SiO 하드마스크의 것보다 실질적으로 더 크고 저온, 예를 들면, 150℃에서 ALD에 의해 형성된 통상적인 SiN 하드마스크의 것과 비교할만한 경도를 갖는다.
2) 통상적인 SiO 하드마스크보다 더 큰 내화학성(낮은 건식 식각률)을 갖는 하드마스크 물질. 예를 들면, 상기 물질로 구성된 ALD에 의해 형성된 하드마스크는 저온, 예를 들면, 150℃에서 ALD에 의해 형성된 통상적인 SiO 하드마스크의 것보다 실질적으로 더 작고 또한 표준 열적 산화물의 것보다 더 작은 건식 식각률(100℃에서 NF3)를 갖는다. 또한, 상기 물질로 구성된 ALD에 의해 형성된 하드마스크는 저온, 예를 들면, 150℃에서 ALD에 의해 형성된 통상적인 SiO 하드마스크의 것보다 실질적으로 더 작고 표준 열적 산화물의 것과 실질적으로 비교할만한 습식 식각률(1:10에서 DHF)를 갖는다.
3) 하드마스크 물질은 금속 성분, 및 산소 및/또는 질소 중의 하나 이상의 유형을 포함한다. 이 물질은 화학식 SixM(1-x)Oy [여기서, M은 하나 이상의 금속 성분을 나타내고, x는 0을 포함하여 1 미만이며, y는 대략 2 또는 화학양론적으로 측정된 수치이다]으로 나타낼 수 있다. 예를 들면, 산화티탄(예: TiO2) 및 산화규소티탄(예: TiSiO4)이 포함된다.
4) 하드마스크 물질은 금속 산화물(예: TiO2) 및 규소 산화물(예: SiO2, 비금속 규소산화물)로 구성되어 굴절률 및 성장속도를 효과적으로 조정하도록 한다. 금속 산화물과 규소 산화물을 혼합하기 위하여, 다음 방법들을 일부 양태에서 수행할 수 있다: 금소 산화물의 얇은 필름 및 규소 산화물의 얇은 필름을 교대로(각각의 필름은 약 3 nm 이상의 두께를 가짐) 증착시키거나; 금속 산화물 및 규소 산화물에 대한 전구체의 혼합물을 도입시켜 필름을 증착시키거나; 또는 금속 산화물에 대한 전구체 및 규소 산화물에 대한 전구체를 교대로 도입시켜 필름을 증착시킨다. 예를 들면, 하드마스크(금속 규소 산화물, 예를 들면, TixSi(1-x)O4, 0<x=1)는 특정 사이클 비(금속 산화물필름에 대한 사이클 대 규소 산화물 필름에 대한 사이클의 비)에서 ALD에 의한 금속 산화물 필름 및 규소 산화물 필름을 교대로 부착시킴으로서 형성시킬 수 있으며, 여기서 규소 산화물의 성장속도는 금속 산화물의 성장속도보다 2.5배 더 크며, 규소 산화물의 굴절률은 금속 산화물의 굴절률보다 더 작아서, 사이클 비를 조절함으로써, 수득한 하드마스크의 성장속도 및 굴절률을 조절할 수 있다. 예를 들면, 사이클 비가 1인 경우(즉, 금속 산화물 필름에 대하여 하나의 사이클 및 규소 산화물 필름에 대한 하나의 사이클이 교호로 수행된다), 규소 산화물의 성장속도는 금속 산화물의 성장속도보다 약 2.5배 더 크기 때문에 하드마스크 내의 금속 산화물 대 규소 산화물의 조성비는 약 1/2.5이다. 사이클 비를 조절함으로써, 금속 산화물 및 규소 산화물의 혼합물에 대한 금속 산화물의 비는 0% 내지 100%로 변할 수 있다.
5) 하드마스크 물질은 하나 이상의 금속 성분 Si, 및 산소 및/또는 질소를 포함하여 최적 기계적 강도 및 건식 식각률을 조정한다. 일부 양태에서, 이러한 유형의 필름은 미국 특허 제7,824,492호에 기술된 방법으로 수행할 수 있으며, 이의 기재내용은 본원에서 참조로 포함된다.
6) 하드마스크는 표적 필름, 기저 필름 및 템플레이트을 형성시키기 위한 것과 동일한 반응기를 사용하여 하기한 단계들을 포함하는 공정 단계들로 형성시킬 수 있다. 이러한 일련의 단계는 연속적으로 수행할 수 있다. 상기에서, "연속적으로"는 진공을 파괴하지 않고, 시각표로서 중단하지 않고, 기판을 이동시키지 않고, 또는 다음 단계로서 직후를 나타낸다. 하드마스크는 ALD에 의해 증착될 수 있지만, 표적 필름 및 기저 필름은 CVD에 의해 증착될 수 있으며, 이들 반응은 동일한 반응기에서 성취할 수 있다.
6-1) 베이킹시킴으로써 템플레이트으로부터 물을 증발시키는 단계: 템플레이트은, 당해 템플레이트을 불활성 기체(예: He, Ar, or N2) 플라즈마 또는 라디칼에 노출시킴으로서 후속적으로 발생되는 열에 적용시켜서, 반응기 외부의 청정실 내의 템플레이트의 표면에 흡수된 물을 증발시키도록 하며, 여기서 흡수된 물의 양은 얼마나 오랫동안 템플레이트이 청정실 내의 공기에 노출되는지에 좌우된다.
6-2) 산소-함유 기체(예: N2O 또는 CO2)를 사용하는 트리밍(trimming) 및/또는 풋팅(footing) 단계.
6-3) 기저 필름 위에 접착층을 증착시키거나 플라즈마에 의해 기저 필름의 표면을 처리하여 하드마스크(스페이서)와 기저 필름 사이의 접착성을 증진시키는 단계.
6-4) ALD (이후에 기술됨)에 의해 스페이서 필름을 증착시키는 단계.
6-5) 후 처리 단계: 스페이서 필름은 열적 어닐링(thermal annealing), 플라즈마 처리, UV 조사, 원격 플라즈마를 사용한 라디칼 노출과 같은 후처리로 처리하여 수분 흡수를 방지한다.
7) 하드마스크는 ALD에 의해 형성된다. 증착 방법은 플라즈마(원격 및 동일계내 둘 다) 발생을 포함하여 증착을 유발한다. 건식/습식 식각률 및 기계적 강도는 특정 비에서 하드마스크에 대하여 다중 물질을 사용하고, 복합 필름을 형성시킴으로써 조절할 수 있다. 복합 필름의 제조는 다음 단계들 중의 하나 이상에 의해 수행할 수 있다: a) 하나의 전구체를 공급하는 단계 및 상이한 전구체를 공급하는 단계를 교호시켜 하나의 필름을 다른 것의 상부에 형성시키고 단계들을 반복하고; b) 다수의 전구체를 함유하는 혼합된 전구체를 공급함으로써 필름을 증착시키며; c) 다수의 이산 전구체(discrete precursor)들을 동시에 각각 공급함으로써 필름을 증착시킨다. 증착 온도는, 템플레이트이 무정형 탄소로 구성되는 경우 300℃ 미만일 수 있거나, 템플레이트이 포토레지스트로 구성되는 경우 150℃ 미만일 수 있다.
8) 하드마스크는, 불화물이 반응기-세척 온도, 예를 들면, 400℃ 미만에서 고체가 아닌 금속을 포함하여, 반응기의 내부 벽 위에 증착된 원하지 않는 필름이 불소 함유 세척 기체에 의해 용이하게 제거될 수 있도록 한다.
일부 양태들은 하기에 설명되지만, 이러한 양태들은 본 발명을 제한하려는 의도는 아니다.
금속 산화물 하드마스크는 Ti, W 및/또는 Ta의 산화물로 구성된다. 일부 양태에서, Mn, Hf 및/또는 Ru의 산화물은 Ti, W 및/또는 Ta 대신에 또는 이들과 혼합하여 사용할 수 있다. 그러나, 바람직하게는 반도체 가공과의 물질 상용성의 측면에서 산화티탄, 산화텅스텐 및/또는 산화탄탈륨일 수 있다.
하드마스크는 ALD, 바람직하게는 PE-ALD에 의해 증착된다. 예를 들면, 산화티탄에 대한 전구체는 Ti(OR)4 [여기서, R은 독립적으로 CxHy (x = 0, 1, 2, 3, 4 또는 5, y = 2x+1)이고, 각각의 R은 상이할 수 있으며(예를 들면, Ti(OCH3)2(OC2H5)(OC3H7)); Ti(NR2)4; 여기서 R은 독립적으로 CxHy (x = 0, 1, 2, 3, 4 또는 5, y=2x+1)이고, 각각의 R은 상이할 수 있다(예를 들면, Ti(N(CH3)(C2H5))4).
산화티탄 이외의 금속 산화물에 대한 전구체는 또한 임의의 적합한 화합물로부터 선택될 수 있다. 일반적으로, 알킬아미노 전구체, 예를 들면, 테트라키스디메틸아미노티탄(TDMAT)이 알콕시 전구체, 예를 들면, 티탄 테트라이소프로폭사이드(TTIP) 보다 더 큰 필름 성장 속도를 제공할 수 있는 데, 그 이유는 TDMAT와 같이 더 작은 분자 크기를 갖는 전구체가 적은 입체장애를 갖는 경향이 있어서 TTIP와 같은 더 큰 분자크기를 갖는 전구체와 비교하여 더 많은 흡수 부위를 갖도록 한다. ALD는 자체 제한 흡수 반응 공정이기 때문에, 증착된 전구체 분자의 양은 반응성 표면 부위의 수로 측정되며 포화 후의 전구체 노출과 독립적이며, 전구체의 공급은, 반응성 표면 부위가 각각의 펄스에 의해 포화되도록 한다. 증착 온도는 약 0 내지 약 200℃의 범위일 수 있는 데, 이 온도는 포토레지스트와 상용성이다(예를 들면, 포토레지스트에 대하여 열적 손상을 유발하지 않는다). 반응 기체는 O2, NH3, N2O 및/또는 H2로 이루어진 그룹으로부터 선택될 수 있다. 하나 이상의 반응 기체를 금속 산화물 하드마스크를 형성시키는 데 사용할 수 있다. 반응 기체 유동속도는 약 100 내지 약 5,000 sccm의 범위일 수 있다. 전구체에 대한 캐리어 기체는 약 200 내지 약 5,000 sccm의 범위일 수 있다. 증착 압력은 약 100 내지 약 1,000 Pa의 범위일 수 있다. RF 전력은 직접 플라즈마에 대하여 약 50 내지 약 500 W의 범위, 또는 원격 플라즈마에 대하여 1 kW 이상의 범위일 수 있다. 전구체 병(또는 탱크) 온도 및 운반 라인은 약 0 내지 약 200℃의 온도에서 조절할 수 있다. 일부 양태에서, 반응 에너지는 플라즈마 발화를 사용하는 것 뿐만 아니라 UV 조사를 사용해서도 공급할 수 있다.
추가로, 증착 공정 전에 또는 동안에 특정 처리를 수행할 수 있으며, 여기서 처리 기체는 O2, NH3, H2, N2, N2O, He 및/또는 Ar로 이루어진 그룹으로부터 선택될 수 있다.
반응기 온도는 반응기 세척에 대한 것과 상이하게(예를 들면, 증착에 대해 설정되는 것 보다 더 큼) 설정하여 세척 속도를 증가시킬 수 있다.
일부 양태에서, SDDP는 다음과 같이 수행할 수 있다:
도 4는 SDDP 공정을 개략적으로 설명한다 먼저, 예비-패턴화된 특징부(41) (예: 포토레지스트)를 도 4(a)에 나타낸 바와 같이 기저 필름(하드마스크 또는 BARC)(42) 위에 형성시킨다. 이후에, 정각 필름인 집적화된 스페이서 필름(43) (일반적으로 "하드마스크"라고도 언급됨)은 기재된 양태들 중의 어느 하나에 따라 증착시켜서 도 4(b)에 나타낸 바와 같이 예비-패턴화된 특징부(41) 및 기저 필름(42)를 덮는다. 집적화된 필름 스페이서는 상부에서의 두께 대 하부에서의 두께의 목적하는 비를 갖는다. 스페이서는 예비-패턴화된 특징부(41)의 측벽 위에 형성된 필름 층일 것이다. 스페이서를 형성하기 위하여, 이방성 스페이서 에칭을 도 4(c)에 도시된 바와 같이 수행하여 기울어진 표면을 포함하는 하부 표면 및 상부 표면, 즉 상부에서의 모든 수평 표면 및 기울어진 표면 위의 모든 필름 물질을 제거하여 측벽(43a) 위에 물질만을 잔류시킨다. 하부 표면에서의 필름의 두께 및 경사진 표면에서의 필름의 두께가, 경사진 표면 및 하부 표면에서의 필름의 부분들이 거의 동시에 에칭에 의해 제거되도록 하기 때문에, 원래의 예비-패턴화된 특징부(41)를 제거한 후에, 상부에서 잔류하는 부분의 완전한 제거가 실현되며, 단지 스페이서가 도 4(d)에 도시된 바와 같이 기저 필름(42) 위에 잔류한다. 스페이서의 내부 벽(43b)은 상부 에지(edge)에서 오버행(overhang) 부분을 갖지 않는다. 스페이서(43a)를 사용하여, 이방성 에칭은 도 4(e)에 나타낸 바와 같이 패턴 이동을 위해 수행되며, 여기서 패턴 이동 층(44)은 기판(45) 위에 형성된다. 일부 양태에 따르기 때문에, 스페이서는 기저 필름에 대하여 충분한 에칭 선택성을 가지며, 오버행이 형성되지 않고, 스페이서의 상부 에지(edge)들 사이의 거리 및 스페이서의 수직 부분들 사이의 거리는 실질적으로 또는 거의 동일(±20% 이하, 또는 ±10% 이하, 또는 ±5% 이하)이며, 패턴 이동 층(표적 필름)(44)은 수직 방향으로 정확하게 에칭된다. 본 발명의 일부 양태에 따라, 스페이서(43b)는 세정후 건조 공정 동안에 통상적인 스페이서보다 덜 붕괴하는 경향이 있다. 즉, 나머지 부분의 완전한 제거가 성취되며, 중요한 치수(CD) 변화가 최소화될 수 있으며 정밀한 폭(46)이 도 4(f)에 도시된 바와 같이 수득될 수 있다. 또한, 일부 양태에 따라, 스페이서는 도 4(g)에 도시된 바와 같은 에칭에 의해 용이하게 제거할 수 있다. 또한, 스페이서 내에 포함된 금속이, 반응기가 불소 함유 기체로 세척될 때 불화물 첨가처리되는 경우, 금속 불화물은 반응기 세척 온도에서 고체가 아니며, 이에 따라, 반응기의 내부 벽으로부터 용이하게 제거될 수 있다.
도 6은 일부 양태에서 이용할 수 있는 장치의 개략도이다. 이 실시예에서, 한쌍의 전기 전도성 평판 전극(64, 62)을 평행으로 제공하고 서로 반응 체임버(63)의 내부(71)에 면하게 하고, RF 전력(65)을 한쪽 면에 적용하며, 다른 면을 전기적으로 접지(72)함으로써, 플라즈마는 전극들 사이에서 여기된다. 온도 조절기는 하부 스테이지(하부 전극(62)으로서도 작용함)에 제공되며, 상부에 위치한 기판(61)의 온도는 소정의 온도에서 일정하게 유지된다. 상부 전극(64)은 또한 샤워 판(shower plate)으로 작용하며, 반응 기체(C) 및 첨가제/퍼지 기체(purge gas; B)는, 존재하는 경우, 각각 기체 유동 조절기(181, 182) 및 샤워 판을 통해 반응 체임버(63) 내로 도입된다. 또한, 전구체(A)는 기체 유동 조절기(183), 펄스 유동 조절 밸브(31), 및 샤워 판을 통해 반응 체임버(63) 내로 도입된다. 추가로, 반응 체임버(63) 내에서, 배기 파이프(66)가 제공되며, 이를 통해 반응 체임버(63)의 내부(71)의 기체가 배기된다. 추가로, 반응 체임버에는 반응 체임버(63)의 내부(71)로 밀봉 기체(seal gas)를 도입하기 위한 밀봉 기체 유동 조절기(나타내지 않음)가 제공된다. 반응 체임버의 내부에서 반응 영역 및 이동 영역을 분리하기 위한 분리 판은 이러한 개략도에서 생략된다. 밀봉 기체는 반응 기체가 분리 판 하부의 체임버의 하부와 통하지 않도록 한다.
펄스 유동 조절 밸브(31)에 있어서, 펄스 공급 밸브는 PE-ALD에 대하여 효과적으로 사용할 수 있다. 이 장치는 또한 PE-CVD에 대해서도 사용할 수 있다. 펄스 조절 밸브는 반응 기체(C) 및/또는 첨가제/퍼지 기체(B)에 대해 제공될 수 있다. 또한, RF 전력은 펄스화할 수 있다. 상기에서, RF 전력의 펄스화는 매칭 박스(matching box; 나타내지 않음)를 조절하여 성취할 수 있다. RF 전력은 배출을 위한 최소 시간 간격을 필요로 하는 데, 이는 전형적으로 8 msec 정도로 짧다. 따라서, 매칭 박스를 조절함으로써, RF 전력의 지속은 예를 들면, 약 0.1초로 용이하게 조절할 수 있다.
일부 양태에서, 사이클 당 증착된 평균 두께는 약 0.6 nm/사이클 내지 약 1.0 nm/사이클이다. 전구체의 펄스 공급은, 필름의 목적하는 두께가 수득될 때까지 계속할 수 있다. 필름의 목적하는 두께가 약 20 nm 내지 약 100 nm인 경우, 약 20 사이클 내지 약 150 사이클(예: 약 40 내지 약 100 사이클)이 수행될 수 있다.
원격 플라즈마 장치를 장치에 연결할 수 있으며, 이를 통해 에칭 기체 또는 공정 기체가 샤워헤드(64)를 통해 장치의 내부에 공급될 수 있다.
도 1(d)와 관련해서 위에서 기술한 바와 같이, 패턴 붕괴의 원인은 다음과 같은 것으로 예측된다: 1) 템플레이트 높이는 너무 높아서 후속적인 건식 에칭 동안에 스페이서를 유지할 수 없고, 2) 스페이서 필름의 기계적 특성은 불량하며, 예를 들면, 이의 탄성 모듈러스 및 경도는 너무 낮아서 용이하게 변형을 유발할 수 있으며, 3) 스페이서 필름과 코어 물질 사이의 필름 응력의 차이가 너무 커서 패턴 붕괴를 일으킨다. 일부 양태에서, 패턴화 붕괴의 상기한 원인은, 명확하게 금속 산화물들 중의 어느 하나를 포함하거나, 이에 의해 나타내어지거나, 이에 의해 우세하게 제조되거나, 이로 본질적으로 이루어지거나, 이로 구성되거나, 또는 이와 균등하거나, 또는 본원에 고유하게 기재된 스페이서를 사용하여 제거할 수 있다. 예를 들면, 도 8b에 나타낸 바와 같이, 하드마스크로서 TiO2와 같은 금속 산화물은 하부의 템플레이트/하드마스크(82)에 대하여 높은 에칭 선택성을 갖기 때문에, TiO2로 구성된 하드마스크의 높이는 SiO로 구성된 하드마스크와 비교하여 상당히 낮을 수 있다(도 8a). 도 8a에서, 템플레이트으로서 SiO 스페이서(83)의 에칭 선택성(SiO2 스페이서는 이의 낮은 건식 에칭 저항으로 인하여 하드마스크인 것으로 고려되지 않는다)은 높지 않기 때문에, 템플레이트의 높이는 클 필요가 있으며, 결과적으로 도 4에서 단계(d)에 상응하는 단계(a)에서 큰 수직 스페이서를 생성한다(도 4에서 단계 (b) 내지 (d)는 "스페이서 한정된 이중 패턴화"라고 언급한다). 패턴을 템플레이트/하드마스크(82)로 이동시키기 위해 단계(b)에서 스페이서(83)를 사용하여 템플레이트/하드마스크(82)를 에칭시키는 경우, 수직 스페이서(83)는 적어도 부분적으로 붕괴되거나 변형되는 경향이 있다. 수직 스페이서가 변형되거나 붕괴되는 경우, 패턴 이동은 정확하게 수행되지 않아서, 단계(c)에서 표적 층(81)의 에칭이 부정확하게 만든다. 도 8b에서, 금속 산화물 스페이서가 높은 에칭 선택성을 갖기 때문에, 상부에 스페이서를 형성시키기 위한 에칭된 템플레이트(참조: 예를 들면, 도 9의 단계(b)에서 템플레이트/하드마스크)은 짧을 수 있으며 이에 따라 에칭 동안에 지속되어 단계(a)에서 수직 스페이서(84)(금속 산화물 스페이서)를 형성할 수 있다. 따라서, 단계(b) 및 (c)는 정확하게 수행될 수 있다. 일부 양태에서, 바람직하게는, 수직 스페이서의 높이(즉, 에칭에 의해 패턴화되는 템플레이트의 두께)는 약 50 nm 내지 약 400 nm, 전형적으로 약 80 nm 내지 약 200 nm이며, 수직 스페이서의 두께는 약 3 nm 내지 약 60 nm, 전형적으로 약 5nm 내지 약 40 nm일 수 있다. 추가로, 금속 산화물 스페이서는 높은 탄성 모듈러스 및 경도(필름으로서 측정함)를 가지며((바람직하게는 탄성 모듈러스는 약 70 GPa 내지 약 400 GPa, 전형적으로 약 100 GPa 내지 약 200 GPa이고, 경도는 약 5 GPa 내지 약 20 GPa, 전형적으로 약 6 GPa 내지 약 15 GPa이다), 이에 따라 스페이서는 건식 에칭 동안에 지속될 수 있다.
추가로, 금속 산화물의 필름 응력(필름으로서 측정됨)은 RF 적용 및/또는 RF 전력의 지속을 변화시킴으로써 조절할 수 있으며, 필름 응력은 인장력으로부터 압축으로 변할 수 있으며 패턴 변형을 최소화할 수 있어서, 스페이서 필름(수직 스페이서를 구성함)과 코어 물질(도 9에서 단계(b)에서 수직 스페이서로 둘러싸인 스페이서 내에 잔류하는 템플레이트의 포토레지스트 물질임) 사이의 필름 응력의 차이가 최소화될 수 있고, 이에 따라 패턴 붕괴를 억제한다. 일부 양태에서, 편평한 필름으로서 측정한 코어 물질의 필름 응력 및 편평한 필름으로서 측정한 스페이서 필름의 필름 응력은 약 100 MPa와 실질적으로 동일하거나 거의 동일하거나, 또는 균등하거나, 또는 미만일 수 있다. 필름 응력들은, 이들 사이의 차이가 너무 작아서 예를 들면, SDDP에서 패턴 붕괴를 억제하도록 하는 경우에 균등하다. 일부 양태에서, 템플레이트의 필름 응력을 먼저 측정한 다음, 스페이서의 목적하는 필름 응력을 측정하며, 이에 따라, 스페이서에 대한 증착 조건을 측정하여 스페이서의 필름 응력을 RF 전력의 함수 및/또는 RF 전력의 지속으로서 목적하는 값으로 조정한다.
본 기재내용에서, 용어 "템플레이트"은 구멍(hole)의 패턴화 또는 형성에 적용된 필름과 같이 가공되는 필름을 나타내며, 용어 "하드마스크"는 높은 에칭 저항, 예를 들면, 에칭되는 템플레이트보다 약 5배 이상 큰 에칭 저항을 가져서, 필름이 템플레이트의 특정 부분이 에칭되는 것을 방지할 수 있도록 하는 필름을 나타낸다. "하드마스크"는 "에칭 마스크"로서 언급될 수 있다.
일부 양태에서, 반응 기체로서 NH3 및 N2와 같은 질소 함유 기체를 사용하여 TiO2와 같은 금속 산화물에 대하여 PE-ALD에서 필름 성장속도를 증가시킨다. 더욱이, 질소 함유 기체를 사용하면 습식 식각률을 상당히 증가시킬 수 있지만(표준 열적 산화물 보다 바람직하게는 2 내지 20배, 전형적으로 4 내지 8배 더 큼), 건식 에칭 내성을 효과적으로 유지시킬 수 있는데(건식 식각률로서, 표준 열적 산화물의 것의 바람직하게는 약 1/100 내지 약 1/5, 전형적으로 약 1/50 내지 약 1/10), 이는 후속적인 스페이서 제거에 매우 이점이 있다. 일부 양태에서, 질소 함유 기체는 약 100 sccm 내지 약 2,000 sccm, 전형적으로 약 200 sccm 내지 약 1,000 sccm의 유동 속도에서, 전형적으로 산소 기체(바람직하게는 약 200 sccm 내지 약 1,000 sccm)와 조합하여 사용된다. 일부 양태에서, 질소 함유 기체의 유동 속도는 총 반응 기체의 50% 미만이지만 10% 이상(전형적으로 20% 내지 35%)이다.
위에서 토의한 바와 같이, 일부 양태에 따라, 다음 이익들 중의 하나 이상이 실현될 수 있다. 약 1 내지 약 30의 LRF 대 총 RF의 전력비에서 저주파수 RF (LRF) (예: 약 200 MHz 내지 약 1,000 MHz, 전형적으로 약 300 MHz 내지 약 600 MHz)를 가함으로써, 필름 응력은 더 효과적으로 조절될 수 있다. 플라즈마 발화 조건(예: 사이클 당 전력 및/또는 발화 시간)을 조절함으로써, 필름 응력 및 습식 식각률을 효과적으로 조절할 수 있다. 질소 함유 반응 기체를 사용함으로써, 필름 특성을 효과적으로 조정하거나 조절할 수 있다.
도 9는 본 발명의 다른 양태에 따르는 공간 한정된 이중 패턴화(SDDP)를 사용하는 패턴 이동 및 표적 에칭의 개략도이며, 여기서 금속 산화물 필름은 템플레이트들 사이에서 하드마스크로서 사용되어 패턴을 제1 템플레이트으로부터 제2 템플레이트으로 이동시킨다. 템플레이트/하드마스크(91)는 SDDP 공정에서 패턴 밀도(예: 피치 감소)를 증가시키기 위해 사용된다. 템플레이트/하드마스크(82)는 표적 층(81)을 에칭하기 위한 하드마스크로서 사용된다. 하드마스크(92)는 패턴을 템플레이트/하드마스크(91)로부터 템플레이트/하드마스크(82)로 이동시키기 위해 사용된다. 도 9의 단계(a)에서, 하부 항반사 피복물(BARC)(94) 위에서, 포토레지스트 패턴(93)이 형성되어 템플레이트/하드마스크(91)가, 템플레이트/하드마스크(91)로 패턴을 이동시키는 단계인 단계(b)에서의 포토레지스트 패턴으로 에칭시킬 수 있다. 단계(c)에서, 금속 산화물 스페이서(95)는 기재된 양태들 중의 어느 하나 또는 이에 대한 등가물에 따라 증착시킨 다음, 스페이서 RIE 단계인 단계(d)에서 에칭시킨다. 템플레이트/하드마스크(91)의 물질(코어 부분(96) 내의 포토레지스트 물질)을 스트립핑함으로써, 수직 스페이서가 단계(e)에서 형성된다. 도 9에서 단계(e) 내지 (g)는 도 8b에서 단계(a) 내지 (c)에 상응한다(비록 수직 스페이서의 높이가 과장되지만). 즉, 단계(f)에서, 이 패턴은 템플레이트/하드마스크(82)로 이동되며, 단계(g)에서, 표적 층(81)은 건식 에칭에 적용된다. 상기에서, 하드마스크(92)로서, 기술된 양태들 또는 이의 등가물들 중의 어느 하나에 따르는 금속 산화물을 사용함으로써, 패턴을 템플레이트/하드마스크(91)로부터 템플레이트/하드마스크(82)로 효과적으로 이동시킬 수 있다. 일부 양태에서, 하드마스크(92)와 같은 평면 하드마스크는 본원에 기술된 방법들 또는 이의 등가물들 중의 어느 하나에 의해서나 펄스화된 PE-CVD에 의해 증착될 수 있다.
이러한 양태들은 본 발명을 제한하려는 의도가 아닌 구체적인 실시예를 참조로 하여 설명할 것이다. 특정 실시예에 적용된 수치는 기타 조건에서 ±50% 이상의 범위로 수정될 수 있으며, 여기서 범위들의 종점들은 포함되거나 배제될 수 있다.
금속 산화물 하드마스크 필름은 도 6에 도시된 PE-ALD 장치를 사용하여 하기에 나타낸 조건하에서 PE-ALD에 의해 기판(Φ200 mm) 위에 형성시켰다. PE-ALD의 각각의 사이클에서의 순서는 도 7에 나타낸다.
전구체 티탄-테트라이소프로폭사이드
증착 온도 50 ℃
반응 기체 유동 O2, 500 sccm
캐리어 기체 유동 Ar, 2000 sccm
증착 압력 200 Pa
RF 전력 (27.12 MHz) 50 W
증착 공정 순서
단계 1:
전구체 공급
단계 2:
전구체 퍼지
단계 3:
RF 발화
단계 4:
퍼지 후
0.9초 2.0초 0.5초 0.5초
SiO 하드마스크(LT-SiO)는 또한 상기한 바와 실질적으로 동일한 조건하에서 PE-ALD에 의해 기판 위에 형성시켰다.
각각의 하드마스크의 건식 에칭 선택성 및 습식 에칭 선택성(표준 열적 산화물의 것들)을 측정하였으며, 그 결과는 하기에 나타낸다.
  열적 산화물 PE-ALD LT-SiO PE-ALD TiO2
건식 식각률
(NF3, 100℃)
0.20 1.00 0.11
습식 식각률
(DHF 1:100)
0.09 1.00 0.10
각각의 하드마스크의 경도 및 탄성 모듈러스를 또한 측정하였고, 그 결과를 다음에 나타낸다.
  PE-ALD LT-SiO PE-ALD TiO2
EM (GPa) 41.6 158.7
경도(GPa) 3.6 9.8
상기 표들에서 나타낸 바와 같이, TiO 하드마스크는 LT-SiO 하드마스트의 것에 비하여 실질적으로 높은 건식/습식 에칭 선택성을 갖는다. TiO 하드마스크의 습식 에칭 선택성은 표준 열적 산화물의 것과 실질적으로 비교할만하였으며, TiO 하드마스크의 건식 습식 식각률은 LT-SiO 하드마스크의 것보다 실질적으로 더 낮았다. 더욱이, TiO 하드마스크의 기계적 강도는 LT-SiO 하드마스크의 것보다 실질적으로 더 높았는데, 이는 스페이서-붕괴 문제가 효과적으로 방지될 수 있음을 나타낸다.
하기 표 4에 나타낸 조건을 제외하고는 실시예 1에 기술된 바와 동일한 방법으로, 필름을 증착시켜 티탄 테트라이소프로폭사이드(TTIP) 및 테트라키스-디메틸아미노티탄(TDMAT)을 사용하여 필름 성장 속도를 비교하였다. 상기 표로부터 알 수 있는 바와 같이, TDMAT에 의한 필름 성장 속도는 TTIP (2-1, 2-2)에 의한 것보다 거의 2배 더 높았다(2-3, 2-4). TDMAT에 의한 수득된 필름의 특성은 TTIP에 의한 특성과 비교할만하였지만, TDMAT에 의한 필름의 습식 식각률은 TTIP에 의한 것보다 약 2 내지 3배 증가하였다. 추가로, RF 발화 시간(2-5)을 연장시킴으로써, 기계적 강도가 증가하였고 습식 식각률이 감소되었다.
2-1 2-2 2-3 2-4 2-5
전구체 TTIP TTIP TDMAT TDMAT TDMAT
RF 전력 50 W 100 W 50 W 100 W 100 W
사이클 당 RF 발화 시간 0.4 초 0.4 초 0.4 초 0.4 초 2.0 초
GPC (nm/사이클) 0.042 0.042 0.079 0.074 0.059
RI 2.401 2.407 2.281 2.308 2.415
WER (1:100 DHF) 열적 산화물과 비교한 비 0.75 0.84 2.79 1.47 0.64
경도 (GPa) 9.01 9.39 8.10 8.90 12.70
EM (GPa) 160.6 167.5 130.2 147.0 190.1
필름 응력 (MPa, 인장=+) 388.8 370.6 226.6 227.8 181.8
GPC: 사이클 당 성장 속도; RI: 굴절률; WER: 습식 식각률; DHF: 묽은 불화수소산; EM: 탄성 모듈러스
하기 표 5 및 6에 나타낸 조건을 제외하고는 실시예 1에 기술된 바와 동일한 방법으로, 필름을 증착시켜 필름 응력의 조절성을 확인하였다. 표 6으로부터 알 수 있는 바와 같이, 필름 응력은 플라즈마-온 타임(RF 발화의 지속) 및/또는 플라즈마 전력(RF 전력)을 변화시킴으로써 양호하게 조절하였으며, 이는 TTIP를 사용하여 수득한 필름이 패턴 붕괴 내성을 갖는 스페이서로서 적합함을 나타낸다. 즉, 플라즈마-온 타임을 증가시킴으로써, 필름의 인장 응력 정도가 감소될 수 있으며, 플라스마 전력을 증가시킴으로써, 필름의 인장 응력의 정도가 감소될 수 있으며 압축 응력으로 변화될 수도 있다.
TiO2
공급원 TTIP 23 sccm
반응 기체 산소 500 sccm
캐리어 기체 Ar 2000 sccm
압력 200 Pa RF 전력 가변 (X) W
공급원 공급 퍼지 1 플라즈마 온 퍼지 2
0.3 초 0.6 초 가변 (Y) 초 0.1 초
공정 온도 전구체 공급 플라즈마
전력(X)
플라즈마-온
시간 (Y)
필름 응력
100 ℃ TTIP 50 W 0.4 초 +388 MPa
100 ℃ TTIP 50 W 2.0 초 +161 MPa
100 ℃ TTIP 100 W 0.4 초 +275 MPa
100 ℃ TTIP 300 W 0.4 초 -268 MPa
하기 표 7에 나타낸 조건을 제외하고는 실시예 1에 기술된 바와 동일한 방법으로, 필름을 증착시켜 필름의 특성에 대하여 NH3의 영향을 평가하였다.
하기 표 5로부터 알 수 있는 바와 같이, NH3를 반응물(4-3, 4-4)로서 산소에 가하는 경우, 필름 성장 속도는 증가(20% 이상으로)하였으며, 필름의 건식 식각률은 상당히 감소(70% 이상으로)하였지만, 필름의 습식 식각률은 NH3 (4-1, 4-2)를 사용하지 않은 경우와 비교하여, 놀랍게 증가(600% 이상으로)하였는데, 이는 필름이 내화학성을 갖지만 용이하게 제거할 수 있는 스페이서로서 적합하다는 것을 나타낸다. 추가로, 산소보다 더 적은 NH3 (4-3) 및 더 많은 NH3 (4-4)를 사용하여 수득된 필름의 특성은 유사한 것으로 보인다.
전구체: TTIP 4-1 4-2 4-3 4-4
공정 조건 O2 500sccm
NH3 0sccm
O2 1000sccm
NH3 0sccm
O2 500sccm
NH3 250sccm
O2 500sccm
NH3 1000sccm
GPC (nm/사이클) 0.042 0.042 0.055 0.052
RI 2.401 2.407 2.179 2.177
WER
(1:100 DHF)
열적 산화물과 비교한 비 0.75 0.84 6.38 5.45
DER
(100℃ NF3)
열적 산화물과 비교한 비 0.24 0.27 0.07 0.06
경도 (GPa) 9.01 9.39 6.79 6.82
EM (GPa) 160.6 167.5 114.5 113.7
필름 응력 (MPa,
인장=+)
388.8 370.6 312.4 303.3
실시예 1 외에도, SiN 하드마스크는 각각 400℃에서 및 100℃에서 PE-ALD에 의해 형성되었으며, TEOS 하드마스크는 또한 380℃에서 PE-ALD에 의해 통상적인 레시피에 따라 형성되었으며, 수득한 하드마스크의 기계적 강도를 측정하였다. 그 결과를 도 5에 나타낸다. 도 5로부터 알 수 있는 바와 같이, TiO 하드마스크는 100℃에서의 SiN 하드마스크 및 TEOS 하드마스크의 것보다 실질적으로 더 높은 탄성 모듈러스를 가지며, TiO 하드마스크의 경도는 100℃에서의 SiN 하드마스크 및 TEOS 하드마스크의 것과 비교할만하다. TiO 하드마스크는 상당히 큰 탄성 모듈러스를 갖기 때문에, 스페이서 붕괴 문제점을 효과적으로 피할 수 있다(탄성 모듈러스는 스페이서 붕괴의 방지라는 측면에서 경도보다 더 중요하다). 추가로, TiO 하드마스크는 증착 속도, 정각성, 에칭 선택성 등의 면에서 100℃에서의 SiN 하드마스크 및 TEOS 하드마스크와 비교하여 상당한 이점들을 갖는다. 예를 들면, 100℃에서의 SiN 하드마스크는 기저 필름에 대하여 양호한 에칭 선택성을 갖지만, 에칭에 의해 제거하기가 쉽지 않다. TEOS 하드마스크는 기저 필름에 대하여 양호한 에칭 선택성을 갖지 않는다. 400℃에서의 SiN 하드마스크는 TiO 하드마스크보다 더 큰 기계적 강도를 갖는다. 그러나, 400℃의 증착 온도는 템플레이트 또는 포토레지스트에 열적 손상을 일으키며, 추가로 구리 또는 기타 금속 확산 또는 이동이 문제가 되는 경향이 있다. 더욱이, 400℃에서 SiN 하드마스크를 제거하는 것은 쉽지 않으며, 400℃에서도, 증착 속도는 낮다. 상기한 관점에서, TiO 하드마스크는 기타 하드마스크에 비하여 상당히 우수하다.
하기 표 8에 나타낸 조건을 제외하고는 실시예 5에서와 동일한 방법으로, 필름을 증착시켜 필름의 탄성 모듈러스 및 경도를 평가하였다. 이 표로부터 알 수 있는 바와 같이, TiO2의 필름은 증착 온도가 낮은 경우에도 우수한 탄성 모듈러스를 나타내며, 또한 필름은 SiN 필름만큼 양호한 경도를 나타내며 SiO 필름보다 상당히 더 양호한 경도를 나타낸다.
물질 탄성 모듈러스 경도
TiO2 PE-ALD 100 ℃ 175 GPa 10.3 GPa
SiO PE-CVD 380 ℃ 80 GPa 10.3 GPa
PE-ALD 75 ℃ 45.3 GPa 4.3 GPa
ALD 450 ℃ 56.4 GPa 5.1 GPa
SiN PE-ALD 100 ℃ 108 GPa 11.7 GPa
PE-ALD 400 ℃ 243 GPa 31 GPa
하기 표 9에 나타낸 조건을 제외하고는 실시예 1에서와 동일한 방법으로, 필름을 증착시켜 필름의 굴절률(633 nm에서) 및 평균 성장 속도(nm/사이클)를 평가하였으며, 여기서 다중-성분 필름의 하나의 층에 대한 단위 사이클 당 TiO2에 대한 사이클의 수 대 TiO2 및 SiO2 에 대한 사이클의 총 의 ALD 사이클 비는 0/1 내지 1/1 (즉, 0/1, 1/3, 1/2, 2/3, 및 1/1)로 변하였다. 상기에서, 1/3의 비는 2개의 SiO2 사이클로 구성된 다음, 하나의 TiO2 사이클로 구성된 단위 사이클을 나타내며; 1/1의 비는 하나의 SiO2 사이클로 구성된 다음, 하나의 TiO2 사이클로 구성된 단위 사이클을 나타내며; 2/3의 비는 하나의 SiO2 사이클로 구성된 다음, 2개의 TiO2 사이클로 구성된 단위 사이클을 나타내며, 여기서 각각의 단위 사이클은 목적하는 횟수로 반복하였다.
SiO2 사이클
공급원 BDEAS 26 sccm
반응 기체 산소 500 sccm
캐리어 기체 Ar 2000 sccm
압력 200 Pa RF 전력 100 W
공급원 공급 퍼지 1 플라즈마 온 퍼지 2
0.3 초 0.6 초 0.4 초 0.1 초
TiO2 cycle
공급원 TTIP 23 sccm
반응 기체 산소 500 sccm
캐리어 기체 Ar 2000 sccm
압력 200 Pa RF 전력 100 W
공급원 공급 퍼지 1 플라즈마 온 퍼지 2
0.3 초 0.6 초 0.4 초 0.1 초
도 10에 나타낸 바와 같이, ALD 사이클 비(예를 들면, 상기한 비들 중의 임의의 2개의 값 또는 0.1씩 증가하는 0.1 내지 0.9의 임의의 2개의 수로 한정된 임의의 범위)를 변화시킴으로써, 굴절률과 ALD 사이클 비 사이의 관계 및 성장속도와 ALD 사이클 비 사이의 관계가 역전되었지만, 수득한 필름의 굴절률 및 성장 속도가 목적하는 수준으로 조절될 수 있었음을 확인하였다.
본 발명은 다음과 같은 추가의 양태 및 이점을 포함할 수 있지만, 이에 제한되지 않는다.
스페이서 붕괴를 방지하기 위하여, 상기한 것들 이외의 기계적으로 견고한 물질을 사용할 수 있다.
스페이서 붕괴를 피하기 위하여, 풋팅 감소를 수행할 수 있다. 도 3은 동일계내 풋팅 감소를 설명하는 개략도이며, 여기서 (a) 반응성 이온 에칭(RIE) 및 (b) 애슁(Ashing)이 수행된다. 단계 (a)에서, 스페이서 필름은 포토레지스트 및 기저 필름 위에 PE-ALD에 의해 증착되며, 동일계내 계면 조절이 수행되며, 이에 의해 스페이서 필름의 동일계내 풋팅 감소가 수행되며, 여기서 포토레지스트(33)의 상부 표면 및 기저 필름(31)의 일부가 노출되며, 스페이서(32)는 연장된 풋팅을 갖지 않는다. 단계 (b)에서, 애슁(ashing)에 의해, 포토레지스트(33)는 제거되며, 이에 의해 감소된 풋팅을 갖는 스페이서(32')를 형성시켜서 CD 변화를 감소시킨다. 본 발명의 일부 양태에서 선택된 금속 산화물 하드마스크는 풋팅 감소에 효과적이다.
스페이서 붕괴를 방지하기 위하여, 스페이서와 기저 필름 사이의 접착성을 증진시킬 수 있다. 접착성의 증진은 접착 층을 형성시키거나 기저 필름의 표면을 처리함으로써 성취할 수 있다. 본 발명의 일부 양태에서 선택된 금속 산화물 하드마스크는 접착성을 증진시키기에 효과적이다.
모든 공정들은 예비-베이크(pre-bake), 트리밍(trimming), 접착성 조절, 증착 및 표면 조절을 포함하는 하나의 PE-ALD 반응기 속에서 순차적으로 수행할 수 있으며, 이에 의해 고생산성 및 저비용을 성취한다.
건식 식각률 및 기계적 강도는 다수의 물질들을 조합함으로써 조절할 수 있다.
동일계내 반응기 자체 세척은, 불화물이 실온에서 높은 증기압을 갖는(AlF3와 달리) 금속을 선택하여, 고 선택성 및 저 비용을 성취함으로써 수행할 수 있다. 본 발명의 일부 양태에서 선택된 금속 산화물 하드마스크는 용이한 자체 세정에 효과적이다.
증착 공정은 ALD이어서 100% 정각성, 적은 패턴 부하, 및 양호한 균일성을 효과적으로 성취할 수 있다.
ALD 유전 물질은 광범위하게 연구되어 왔기 때문에, 본 양태들에 기재된 기준들의 하나 이상 또는 모두를 사용함으로써 과도한 부담없이 양호한 후보 물질을 선택할 수 있다.
일반적으로, 열적 ALD는 400℃ 미만과 같은 저온에서 매우 도전적인데, 그 이유는 화학 반응성이 온도에 따라 감소하기 때문이다. PE-ALD는 저온에서 유리하며, 정각 필름을 형성할 수 있다. 열적 ALD와 다르게, PE-ALD는 동일한 온도에서 기판 위에 상이한 물질을 증착시킬 수 있다. 또한, 이러한 이유로, PE-ALD가 유리하다. 열적 반응은 필름 조성물 및 전구체 흡착을 효과적으로 조절할 수 없다.
PE-ALD는 조절 공정 조건에 의해 각각의 필름 품질을 조절할 수 있다. PE-ALD를 사용함으로써 양호한 공정 조절성 및 양호한 공정 신뢰성이 실현될 수 있다. 부수적으로, 증착 조제로서 사용된 촉매는 대개 열적 또는 PE-ALD에서 유용하지 않으며, 이에 따라 어떠한 촉매도 사용되지 않는다.
바람직한 금속 산화물은 SixTi(1-x)Oy로 표현되며, 여기서 0≤x<1, y~2이다. WO 또는 TaO 하드마스크를 사용할 수 있다. 추가로, TiN, WN 또는 TaN 하드마스크를 본원에 기재된 것들과 함께 사용할 수 있다.
당해 분야의 숙련가들은, 본 발명의 정신으로부터 벗어나지 않고 수많은 각종 변형을 수행할 수 있다는 것을 이해할 것이다. 따라서, 본 발명의 형태는 단지 설명하기 위한 것이며 본 발명의 영역을 제한하려는 의도가 아니라는 것을 분명히 이해하여야 한다.

Claims (18)

  1. 기판 위에 형성된 포토레지스트 또는 무정형 탄소로 구성된 템플레이트를 제공하는 공정;
    화학식 SixM(1-x)Oy [여기서, M은 적어도 하나 이상의 금속 원소를 나타내고, x는 0을 포함하는 1 미만이며, y는 대략 2이거나 화학양론적으로 측정된 수이다]을 갖는 물질로 구성된 템플레이트 위에 금속 산화물 하드마스크를 원자층 증착(ALD)에 의해 증착시키는 공정;을 포함하는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  2. 제 1항에 있어서,
    상기 금속 산화물 하드마스크는 스페이서 필름인 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  3. 제 2항에 있어서,
    상기 스페이서 필름이 템플레이트 위에 증착된 후에, 스페이서-한정 이중 패턴화(SDDP)를 수행하는 공정을 더 포함하는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  4. 제 1항에 있어서,
    상기 M은, 불화물이 금속 산화물 하드마스크를 증착시키기 위해 사용된 반응기를 세척하기 위한 온도에서 100 Pa 이상의 증기압을 갖는 금속인 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  5. 제 4항에 있어서,
    상기 M은 Ti, W 또는 Ta인 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  6. 제 5항에 있어서,
    상기 M은 Ti인 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  7. 제 6항에 있어서,
    상기 금속 산화물 하드마스크는 TiO2 로 이루어진 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  8. 제 1항에 있어서,
    상기 ALD는 플라즈마 강화 ALD(PE-ALD)인 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  9. 제 1항에 있어서,
    상기 ALD는, 무정형 탄소로 구성된 템플레이트에 대하여 300℃ 이하의 온도에서 수행되거나, 포토레지스트로 구성된 템플레이트에 대하여 150℃ 이하의 온도에서 수행되는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  10. 제 1항에 있어서,
    상기 ALD는, SiO2로 구성된 SiO2 하드마스크에 대하여 설정된 것과 사실상 동일한 조건하에 수행되고, 이때, M을 함유하는 기체가 SiO2 하드마스크에 대하여 Si를 함유하는 기체 대신에 사용되는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  11. 제 10항에 있어서,
    상기 금속 산화물 하드마스크는 SiO2 하드마스크 보다 적어도 3배 이상 더 큰 탄성 모듈러스를 갖고, SiO2 하드마스크 보다 적어도 2배 이상 더 큰 경도를 갖는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  12. 제 10항에 있어서,
    상기 금속 산화물 하드마스크는 SiO2 하드마스크 보다 작은 건식 식각률(dry etch rate)을 갖고, 표준 열적 산화물과 비슷한 습식 식각률(wet etch rate)를 갖는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  13. 제 1항에 있어서,
    상기 기판은 템플레이트하에 형성된 기저 필름을 갖고, 이때, 상기 기저 필름은 규소 산화물로 이루어진 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  14. 제 1항에 있어서,
    상기 템플레이트는 포토레지스트 또는 무정형 탄소로 구성된 볼록 패턴을 갖고, 이때, 볼록 패턴은 1 마이크론 미터 미만의 폭 및 1 이상의 높이/폭 비를 갖는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  15. 제 8항에 있어서,
    상기 금속 산화물 하드마스크의 증착은, 펄스에서 금속 산화물 하드마스크에 대한 전구체를 제공하는 공정과, 상기 전구체의 펄스 사이에 펄스에서 RF 전력을 인가하는 공정을 포함하며,
    이때, 상기 RF 전력이 인가된 동안에 적어도 하나 이상의 반응 기체가 공급되며, 상기 전구체는 금속 함유 알킬아미노 화합물 또는 금속 함유 알콕시 화합물인 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  16. 제 15항에 있어서,
    상기 반응 기체는 질소 함유 기체를 포함하는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  17. 제 1항에 있어서,
    상기 금속 산화물 하드마스크는 금속 산화물의 원자층과 규소 산화물의 원자층이 교대로 적층된 적층물로 이루어진 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
  18. 제 1항에 있어서,
    상기 금속 산화물 하드마스크는 상기 템플레이트와 동일한 필름 응력을 갖는 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법.
KR1020110142924A 2010-12-28 2011-12-27 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법 KR101866567B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201061427661P 2010-12-28 2010-12-28
US61/427,661 2010-12-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170175442A Division KR101849500B1 (ko) 2010-12-28 2017-12-19 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법

Publications (2)

Publication Number Publication Date
KR20120075397A true KR20120075397A (ko) 2012-07-06
KR101866567B1 KR101866567B1 (ko) 2018-06-11

Family

ID=46317718

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020110142924A KR101866567B1 (ko) 2010-12-28 2011-12-27 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법
KR1020170175442A KR101849500B1 (ko) 2010-12-28 2017-12-19 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170175442A KR101849500B1 (ko) 2010-12-28 2017-12-19 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법

Country Status (3)

Country Link
US (2) US8901016B2 (ko)
JP (1) JP5913965B2 (ko)
KR (2) KR101866567B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018139746A1 (ko) * 2017-01-25 2018-08-02 인천대학교 산학협력단 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법

Families Citing this family (408)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5637212B2 (ja) * 2010-08-27 2014-12-10 東京エレクトロン株式会社 基板処理方法、パターン形成方法、半導体素子の製造方法、および半導体素子
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP6003571B2 (ja) * 2012-11-21 2016-10-05 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9171720B2 (en) * 2013-01-19 2015-10-27 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI559381B (zh) 2013-02-19 2016-11-21 應用材料股份有限公司 金屬合金薄膜的原子層沉積
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104347360B (zh) * 2013-07-24 2017-02-08 中芯国际集成电路制造(上海)有限公司 双重图形结构及其形成方法
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102486400B1 (ko) 2014-01-13 2023-01-09 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9171796B1 (en) 2014-06-19 2015-10-27 International Business Machines Corporation Sidewall image transfer for heavy metal patterning in integrated circuits
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160039739A (ko) 2014-10-01 2016-04-12 삼성전자주식회사 하드 마스크막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP2017531921A (ja) * 2014-10-08 2017-10-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 2層aldを用いた正確な限界寸法制御
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102437717B1 (ko) * 2015-06-17 2022-08-29 인텔 코포레이션 디바이스 제조를 위한 산화물 층들의 원자 층 제거에 의한 전이 금속 건식 에칭
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9640481B2 (en) 2015-09-03 2017-05-02 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9790591B2 (en) 2015-11-30 2017-10-17 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
KR102471161B1 (ko) * 2015-12-23 2022-11-25 삼성전자주식회사 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105668511B (zh) * 2016-03-03 2017-06-09 广东工业大学 一种微纳加工制备电子器件的方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10276677B2 (en) * 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9799534B1 (en) 2017-01-04 2017-10-24 International Business Machines Corporation Application of titanium-oxide as a patterning hardmask
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10090164B2 (en) 2017-01-12 2018-10-02 International Business Machines Corporation Hard masks for block patterning
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10262865B2 (en) 2017-04-14 2019-04-16 Asm Ip Holding B.V. Methods for manufacturing semiconductor devices
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10366879B2 (en) 2017-11-14 2019-07-30 International Business Machines Corporation Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10978302B2 (en) * 2017-11-29 2021-04-13 Lam Research Corporation Method of improving deposition induced CD imbalance using spatially selective ashing of carbon based film
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190189447A1 (en) * 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182872A1 (en) 2018-03-19 2019-09-26 Lam Research Corporation Chamfer-less via integration scheme
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10340136B1 (en) 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
WO2020140234A1 (zh) * 2019-01-03 2020-07-09 京东方科技集团股份有限公司 模板制备方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7326077B2 (ja) 2019-09-10 2023-08-15 キオクシア株式会社 エッチングマスクの形成方法および半導体装置の製造方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20220066898A (ko) * 2019-09-25 2022-05-24 도쿄엘렉트론가부시키가이샤 기판 패터닝
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) * 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
JP2021071685A (ja) * 2019-11-01 2021-05-06 凸版印刷株式会社 反射型マスク及び反射型マスクの製造方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022020507A1 (en) * 2020-07-23 2022-01-27 Lam Research Corporation Advanced self aligned multiple patterning using tin oxide
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11715640B2 (en) * 2020-09-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including silicon-containing layer and method for semiconductor device fabrication
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102527983B1 (ko) * 2020-11-04 2023-05-03 엠에이치디 주식회사 반도체 장치의 미세 패턴 형성방법
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050050579A (ko) * 2003-11-26 2005-05-31 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
KR20090048544A (ko) * 2007-05-14 2009-05-14 마이크론 테크놀로지, 인크. 간이화한 피치 더블링 프로세스 플로우
US20100170868A1 (en) * 2009-01-07 2010-07-08 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
JP2008532271A (ja) * 2005-02-22 2008-08-14 エーエスエム アメリカ インコーポレイテッド 原子層堆積のための表面のプラズマ前処理
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
JP2007211326A (ja) * 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7544604B2 (en) * 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7727864B2 (en) * 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP4427562B2 (ja) * 2007-06-11 2010-03-10 株式会社東芝 パターン形成方法
JP5236983B2 (ja) 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US8440569B2 (en) * 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US8680650B2 (en) * 2009-02-03 2014-03-25 Micron Technology, Inc. Capacitor structures having improved area efficiency
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
EP2336824A1 (en) * 2009-11-19 2011-06-22 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
KR20110055912A (ko) * 2009-11-20 2011-05-26 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성방법
US20110183269A1 (en) * 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
US20110294075A1 (en) * 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
US8470187B2 (en) * 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US8314034B2 (en) * 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
US8298951B1 (en) * 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050050579A (ko) * 2003-11-26 2005-05-31 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
KR20090048544A (ko) * 2007-05-14 2009-05-14 마이크론 테크놀로지, 인크. 간이화한 피치 더블링 프로세스 플로우
US20100170868A1 (en) * 2009-01-07 2010-07-08 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018139746A1 (ko) * 2017-01-25 2018-08-02 인천대학교 산학협력단 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법

Also Published As

Publication number Publication date
KR101849500B1 (ko) 2018-04-16
US20120164846A1 (en) 2012-06-28
US9171716B2 (en) 2015-10-27
KR101866567B1 (ko) 2018-06-11
KR20180002566A (ko) 2018-01-08
JP2012142574A (ja) 2012-07-26
JP5913965B2 (ja) 2016-05-11
US8901016B2 (en) 2014-12-02
US20150056540A1 (en) 2015-02-26

Similar Documents

Publication Publication Date Title
KR101849500B1 (ko) 템플레이트 위에 금속 산화물 하드마스크를 형성시키는 방법
US11961741B2 (en) Method for fabricating layer structure having target topological profile
US10658181B2 (en) Method of spacer-defined direct patterning in semiconductor fabrication
US20200111669A1 (en) Method for depositing oxide film by peald using nitrogen
US10720322B2 (en) Method for forming silicon nitride film selectively on top surface
KR101991198B1 (ko) 직사각형 프로파일을 갖는 스페이서 및 그 형성 방법
KR101427505B1 (ko) 마스크 패턴의 형성 방법 및 반도체 장치의 제조 방법
US6013582A (en) Method for etching silicon oxynitride and inorganic antireflection coatings
JP5265100B2 (ja) 炭素系ハードマスクを開く方法
US6291356B1 (en) Method for etching silicon oxynitride and dielectric antireflection coatings
US11495461B2 (en) Film stack for lithography applications
US9595451B1 (en) Highly selective etching methods for etching dielectric materials
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
JP2005045053A (ja) 半導体装置の製造方法
US11658035B2 (en) Substrate processing method
JP5236716B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JPH04213821A (ja) 膜堆積方法及び微細加工方法
KR20210042205A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant