KR20220066898A - 기판 패터닝 - Google Patents

기판 패터닝 Download PDF

Info

Publication number
KR20220066898A
KR20220066898A KR1020227009827A KR20227009827A KR20220066898A KR 20220066898 A KR20220066898 A KR 20220066898A KR 1020227009827 A KR1020227009827 A KR 1020227009827A KR 20227009827 A KR20227009827 A KR 20227009827A KR 20220066898 A KR20220066898 A KR 20220066898A
Authority
KR
South Korea
Prior art keywords
photoresist
substrate
layer
sidewall
forming
Prior art date
Application number
KR1020227009827A
Other languages
English (en)
Inventor
조디 그르제스코위악
앤서니 쉐피스
안톤 제이 데빌리어스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220066898A publication Critical patent/KR20220066898A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판을 패터닝하기 위한 방법으로서, 기판 상에서는 패터닝된 포토레지스트 구조물이 형성될 수 있고, 패터닝된 포토레지스트 구조물은 측벽을 갖는다. 스페이서 물질의 컨포멀층이 측벽 상에 성막될 수 있다. 그런 후 패터닝된 포토레지스트 구조물은 기판으로부터 제거되어, 스페이서 물질을 남길 수 있다. 그런 후, 기판은 타겟 임계 치수를 갖는 기판을 형성하기 위해 에칭 마스크로서 측벽 스페이서를 사용하여 방향성 에칭될 수 있다.

Description

기판 패터닝
본 출원은 2019년 9월 25일에 출원된 미국 가특허 출원 제62/905,604호의 우선권을 청구하며, 이 가특허 출원의 전문은 참조로서 본 명세서 내에 편입된다.
본 명세서에서 설명되는 실시예들은 일반적으로 기판을 패터닝하는 것에 관한 것이다.
(특히 미시적 규모의) 반도체 소자의 제조에서는, 막 형성 성막, 에칭 마스크 생성, 패터닝, 물질 에칭 및 제거, 및 도핑 처리와 같은 다양한 제조 공정들이 실행된다. 이러한 공정들은 기판 상에 원하는 반도체 소자 엘리먼트들을 형성하도록 반복적으로 수행된다. 하나의 특정 기술은 측벽 스페이서 또는, 단순히, 스페이서의 사용이다. 스페이서는 일반적으로 맨드렐(mandrel) 상에 스페이서 물질을 컨포멀하게(conformally) 성막함으로써 형성된다. 맨드렐은 라인, 메사(mesa), 또는 홀(hole)과 같은 지형적(topographic) 피처일 수 있다. 화학적 기상 증착(chemical vapor deposition; CVD) 또는 원자층 성막(atomic layer deposition; ALD)과 같은 임의의 다양한 컨포멀 성막 공정들이 사용될 수 있다. 그 결과물은 수평면과 수직면 둘 다 상에서 거의 균일한 두께를 갖는 막에서 모든 (수평 및 수직) 면들을 덮는 막이다. 다음으로 스페이서 개방 에칭이 실행된다. 스페이서 개방 에칭은 성막된 두께와 적어도 동일한 양의 스페이서 물질을 제거하기 위한 방향성(이방성) 에칭이다. 그 결과는 수직면(다양한 피처들의 측벽) 상에 스페이서를 남겨두면서 수평면으로부터 스페이서 물질을 제거하는 것이다. 그런 다음 스페이서는 후속 미세제조를 위한 마스크 또는 구조물로서 사용될 수 있다. 따라서, 스페이서는 기판 내에 원하는 임계 치수를 갖는 피처들을 형성하기 위한 의도된 구조물을 갖는 것이 중요한다.
일 실시예에서, 본 개시는 기판을 패터닝하는 방법을 제시하며, 이 방법은, 기판 상에 패터닝된 포토레지스트 구조물을 형성하는 단계 - 패터닝된 포토레지스트 구조물은 기판 내에 형성될 기판 피처를 위한 타겟 임계 치수(critical dimension; CD)에 대응하는 미리결정된 측벽 기울기를 갖는 측벽을 가짐 -; 측벽 상에 스페이서 물질의 컨포멀층을 성막하는 단계; 스페이서 물질이 기판 상에 형성된 측벽 스페이서로서 남도록 기판으로부터 패터닝된 포토레지스트 구조물을 제거하는 단계; 기판에서 타겟 CD를 갖는 기판 피처를 형성하기 위해 측벽 스페이서를 에칭 마스크로서 사용하여 기판을 방향성 에칭하는 단계를 포함한다.
일 실시예에서, 패터닝된 포토레지스트 구조물을 형성하는 단계는 마스크 기반 포토리소그래피 시스템을 사용하여 화학 방사선(actinic radiation)의 패턴으로 포토레지스트의 층을 노광하는 단계를 포함하고, 상기 노광은 미리결정된 측벽 기울기에 대응하는 디포커스 포인트(defocus point)에서 수행된다.
일 실시예에서, 패터닝된 포토레지스트 구조물을 형성하는 단계는, 미리결정된 측벽 기울기를 형성하는 것에 대응하는, 미리결정된 물질의 하부층을 형성하는 단계, 하부층 상에 포토레지스트의 층을 형성하는 단계, 마스크 기반 포토리소그래피 시스템을 사용하여 화학 방사선의 패턴으로 포토레지스트의 층을 노광하는 단계 - 하부층은 미리결정된 측벽 기울기를 갖는 패터닝된 포토레지스트 구조물에 대응하는 잠재적 패턴 구조물을 형성하도록 상기 층을 노광하는 단계를 강화시킴 -, 및 잠재적 패턴 구조물이 기판 상에서 패터닝된 포토레지스트 구조물로서 남도록 기판으로부터 포토레지스트의 부분들을 제거하는 단계를 포함한다.
일 실시예에서, 하부층을 형성하는 단계는, 노광 동안, 잠재적 패턴 구조물이 미리결정된 측벽 기울기를 갖도록 하부층에 인접한 포토레지스트의 영역에서 화학 방사선의 세기를 증가시키도록 구성된 미리결정된 반사율을 갖는 물질의 층을 형성하는 단계를 포함한다.
일 실시예에서, 하부층을 형성하는 단계는, 잠재적 패턴 구조물이 미리결정된 측벽 기울기를 갖도록 하부층에 인접한 포토레지스트의 영역에서 잠재적 패턴 구조물을 수정하도록 구성된 반응성 종(reactive species)을 갖는 물질의 층을 형성하는 단계를 포함한다.
일 실시예에서, 상기 미리결정된 측벽 기울기는 패터닝된 포토레지스트 구조물의 제거 후에 스페이서 물질의 층에서 응력의 완화를 보상하도록 구성된다.
일 실시예에서, 측벽 상의 스페이서 물질의 층은 기판 표면에 대해 제1 각도를 형성하고, 측벽 스페이서는 기판 표면에 대해 제2 각도를 형성하며, 상기 제2 각도는 응력의 완화로 인해 제1 각도보다 수직에 더 가깝다.
일 실시예에서, 타겟 CD는 스페이서 물질의 층의 두께와 동일하다.
일 실시예에서, 패터닝된 포토레지스트를 형성하는 단계는 다른 타겟 임계 치수(CD)에 대응하는 다른 미리결정된 측벽 기울기를 갖는 다른 측벽을 기판의 상이한 영역에서 형성하는 단계를 포함하고, 측벽 기울기 및 다른 측벽 기울기는 기판의 상이한 영역들에서 기판 피처들의 균일한 CD를 제공하도록 구성된다.
다른 실시예는, 미리결정된 측벽 기울기를 형성하는 것에 대응하는, 미리결정된 물질의 하부층을 형성하는 단계, 하부층 상에 포토레지스트의 층을 형성하는 단계 - 하부층은 미리결정된 측벽 기울기를 갖는 패터닝된 포토레지스트 구조물에 대응하는 잠재적 패턴 구조물을 형성하도록 상기 노광하는 단계를 강화시킴 -, 및 잠재적 패턴 구조물이 기판 상에서 패터닝된 포토레지스트 구조물로서 남도록 기판으로부터 포토레지스트의 부분들을 제거하는 단계를 포함한다.
일 실시예에서, 본 개시는 기판을 패터닝하는 방법을 제시하며, 이 방법은, 기판 상에 반사방지 코팅층을 성막하는 단계 - 반사방지 코팅층은 용해도 시프팅 성분을 포함함 -; 반사방지 코팅층 상에 포토레지스트의 층을 성막하는 단계; 마스크 기반 포토리소그래피 시스템을 사용하여 포토레지스트의 층을 화학 방사선의 패턴으로 노광하는 단계 - 화학 방사선의 패턴의 포커스 포인트는 구조물들의 윗부분들이 대응하는 중간 부분들의 단면들과 비교하여 더 넓은 단면들을 갖도록 하는 측벽 테이퍼를 갖는 구조물들의 잠재적 패턴을 생성하는 미리결정된 포인트로 설정됨 -; 용해도 시프팅 성분을 포토레지스트의 층의 아랫부분으로 확산시키는 단계; 및 포토레지스트 구조물들의 단면 폭이 포토레지스트 구조물들의 최상부에서부터 포토레지스트 구조물들의 바닥부까지 감소하도록 하는 측벽 테이퍼를 갖는 포토레지스트 구조물들을 초래시키도록 포토레지스트의 층을 현상하는 단계를 포함한다.
일 실시예에서, 포토레지스트의 층은 제1 광 파장에 반응하여 제1 광산(photo acid)을 생성하는 제1 광산 생성제를 함유하고, 용해도 시프팅 성분은 제2 광 파장에 반응하여 제2 광산을 생성하는 제2 광산 생성제의 성분인 제2 광산이다.
일 실시예에서, 제1 광 파장은 제2 광 파장과 상이하다.
다른 실시예는 마스크 기반 포토리소그래피 시스템을 사용하여 화학 방사선의 패턴으로 포토레지스트의 층을 노광한 것에 후속하여 제2 광 파장의 플러드(flood) 노광을 기판에 대해 실행하는 단계를 더 포함한다.
일 실시예에서, 제1 광 파장은 제2 광 파장과 동일하다.
일 실시예에서, 마스크 기반 포토리소그래피 시스템을 사용하는 화학 방사선의 패턴으로부터의 노광은 제2 광산 생성제로부터 일정량의 광산을 생성하기에 충분하다.
일 실시예에서, 용해도 시프팅 성분은 반사방지 코팅층 상에 침착된 산이다.
일 실시예에서, 용해도 시프팅 성분은 반사방지 코팅층 내의 유리산(free acid)이다.
다른 실시예는 포토레지스트 구조물들 상에 측벽 스페이서들을 형성하는 단계 - 측벽 스페이서들은 포토레지스트 구조물들의 측벽 테이퍼를 채용함 -; 및 포토레지스트 구조물들을 제거하는 단계를 더 포함한다.
일 실시예에서, 포토레지스트 구조물들을 제거하는 단계는 주어진 포토레지스트 구조물이 제거됨에 따라 서로로부터의 기하학적 거리가 감소하는 주어진 포토레지스트 구조물로부터의 측벽 스페이서들의 최상부들을 초래시킨다.
일 실시예에서, 반사방지 코팅층은 부분적으로 반사성이고, 포토레지스트의 층의 바닥 부분들에서 더 많은 광산을 생성하도록 화학 방사선의 패턴의 일부를 포토레지스트의 층으로 되반사시키기에 충분하다.
일 실시예에서, 반사방지 코팅층 내의 용해도 시프팅 성분의 농도는 포토레지스트의 층으로부터 생성된 광산과 반사방지 코팅층으로부터 생성된 광산의 합이 측벽 테이퍼를 갖는 포토레지스트 구조물들을 초래시키기에 충분하도록 선택된다.
일 실시예에서, 본 개시는 기판을 패터닝하는 방법을 제시하며, 이 방법은, 기판 상에 반사방지 코팅층을 성막하는 단계; 반사방지 코팅층 상에 포토레지스트의 층을 성막하는 단계; 마스크 기반 포토리소그래피 시스템을 사용하여 포토레지스트의 층을 화학 방사선의 패턴으로 노광하는 단계 - 화학 방사선의 패턴의 포커스 포인트는 구조물들의 윗부분들이 대응하는 아랫부분들의 단면들과 비교하여 더 넓은 단면들을 갖도록 하는 측벽 테이퍼를 갖는 구조물들의 잠재적 패턴을 생성하는 미리결정된 포인트로 설정됨 -; 및 포토레지스트 구조물들의 단면 폭이 포토레지스트 구조물들의 최상부에서부터 포토레지스트 구조물들의 바닥부까지 감소하도록 하는 측벽 테이퍼를 갖는 포토레지스트 구조물들을 초래시키도록 포토레지스트의 층을 현상하는 단계를 포함한다.
일 실시예에서, 본 개시는 기판을 패터닝하는 방법을 제시하며, 이 방법은, 기판 상에 반사방지 코팅층을 성막한 기판 상에 형성된 스페이서들로부터 초래되는 유효 스페이서 CD를 식별하는 단계; 반사방지 코팅층 상에 포토레지스트의 층을 성막하는 단계; 마스크 기반 포토리소그래피 시스템을 사용하여 포토레지스트의 층을 화학 방사선의 패턴으로 노광하는 단계 - 화학 방사선의 패턴의 포커스 포인트는 미리결정된 측벽 테이퍼를 갖는 구조물들의 잠재적 패턴을 생성하는 미리결정된 포인트로 설정됨 -; 미리결정된 측벽 테이퍼를 갖는 포토레지스트 구조물들을 초래시키도록 포토레지스트의 층을 현상하는 단계; 포토레지스트 구조물들 상에 측벽 스페이서들을 형성하는 단계 - 측벽 스페이서들은 미리결정된 측벽 테이퍼를 채용함 -; 및 측벽 스페이서들에 의해 정의된 패턴을 하부층으로 전사할 때 유효 스페이서 CD를 생성하는 수정된 측벽 테이퍼를 갖는 측벽 스페이서들을 초래시키도록 기판으로부터 포토레지스트 구조물들을 제거하는 단계를 포함한다.
이 요약 섹션은 본 발명개시 또는 청구된 발명의 모든 실시예 및/또는 점진적으로 신규한 양태를 명시하지 않는다는 점을 유의한다. 대신에, 이 요약은 통상적인 기술들 대비 상이한 실시예들 및 대응하는 신규 사항들의 서론을 제공할 뿐이다. 본 발명 및 실시예들의 추가적인 세부사항들 및/또는 가능한 전망들에 대해서는, 독자를 향해 아래에서 심화적으로 논의되는 본 발명개시의 상세한 설명 및 대응 도면들이 주어진다.
도 1은 기판을 패터닝하는 하나의 방법에 대한 흐름도이다.
도 2는 세 개의 상이한 레지스트 프로파일 경우들, 일정한 스페이서 두께를 생성하는 스페이서 랩핑(wrap) 후의 레지스트 프로파일들, 및 스페이서 에칭 맨드렐 풀(spacer etch mandrel pull; SEMP) 에칭 후의 각각의 레지스트 프로파일들에 대한 유효 임계 치수 대 실제 임계 치수를 나타낸다.
도 3은 세 개의 상이한 레지스트 프로파일들을 도시하며, 여기서 "- 디포커스"를 위한 레지스트는 바닥부가 더 넓되 최상부로 갈수록 좁아지고, "인 포커스"를 위한 레지스트는 대략 동일한 폭의 최상부와 바닥부를 가지며, "+ 디포커스"를 위한 레지스트는 최상부가 더 넓되 바닥부로 갈수록 좁아진다.
도 4는 가변 포커싱에 의한 레지스트 프로파일 튜닝을 보여주는 단면 투과 전자 현미경(cross-section transmission electron microscopy; TEM) 이미지들을 보여주며, 여기서 선량(dose) = 34mJ/㎠이다.
도 5는 하부층 내로 로딩된 반응성 종을 통해 프로파일을 제어하는 예시를 나타내며, 여기서 하부층은 산을 생성하기 위한 반응성 종(예를 들어, 광산 생성제(photo acid generator; PAG))을 함유하고, 그 후 상기 산은 포토레지스트 내로 확산되어, 포토레지스트의 바닥부에서 산의 농도를 증가시킨다.
도 6은 하부층 내로 로딩된 반응성 종 농도의 함수로서의 레지스트 프로파일을 나타내며, 여기서는, 예를 들어, 하부층에서 산의 양이 증가함에 따라, 레지스트에서의 농도 구배가 더 가파르게 된다.
배경기술에서 언급한 바와 같이, 스페이서는 기판 내에 원하는 임계 치수(CD)를 갖는 피처들을 형성하기 위한 의도된 구조물을 갖는 것이 중요한다. 스페이서는 임의의 물질의 맨드렐들 상에 형성될 수 있다. 일반적으로 지형적 릴리프(relief) 패턴으로 형성되는 제1 물질은 포토레지스트이다. 따라서, 포토레지스트 패턴 상에 스페이서를 형성하는 것이 바람직하다. 포토레지스트를 맨드렐로서 사용할 때의 중요한 문제는 포토레지스트가 비교적 연질성인(soft) 물질이며 수직 프로파일을 갖지 않는 프로파일을 갖고서 현상되는 경우가 종종 있다는 것이다. 측벽은 포토리소그래피 노광이 실행되는 방식에 따라 안쪽으로 또는 바깥쪽으로 기울어질 수 있다. 또한, 스페이서가 일부 포토레지스트 또는 기타 연질 맨드렐 상에 성막될 때, 성막된 막에서의 압축 또는 인장 응력은 연질 맨드렐의 프로파일을 변경시킬 수 있다. 예를 들어, 스페이서는 기판 표면에 대해 기울어지거나 또는 완전히 수직이 아닐 수 있다. 스페이서는 종종 방향성 에칭을 사용하는 패턴 전사를 위한 에칭 마스크로서 사용된다. 그 후 기울어진 스페이서는 유효 임계 치수가 스페이서의 두께보다 훨씬 더 커지게 할 수 있다.
포토레지스트 맨드렐을 사용할 때의 다른 문제는 포토레지스트가 기판 상에 있는 동안 측벽 스페이서가 제1 각도를 가질 수 있지만, 포토레지스트가 제거(발굴)될 때, 이러한 제거 공정은 종종 측벽 스페이서가 기판에 대한 각도를 변경시키는 것을 초래시킨다. 따라서 초기 측벽 스페이서가 기판에 대해 완벽하게 수직이였던 경우에도, 맨드렐 제거 공정은 서로를 향해 더 많이 기울어진 것과 같이, 상이한 각도를 갖는 측벽 스페이서들을 초래시킬 수 있다.
도 1은 기판을 패터닝하는 일 실시예를 위한 방법(100)을 밟아가는 흐름도이다.
제1 단계(S101)는, 기판 상에 패터닝된 포토레지스트 구조물을 형성하는 단계이며, 패터닝된 포토레지스트 구조물은 기판 내에 형성될 기판 피처를 위한 타겟 임계 치수에 대응하는 미리결정된 측벽 기울기를 갖는 측벽을 갖는다. 그런 후, S102는 측벽 상에 스페이서 물질의 컨포멀층을 성막하는 단계이다. 다음으로, S103은 스페이서 물질이 기판 상에 형성된 측벽 스페이서로서 남도록 기판으로부터 패터닝된 포토레지스트 구조물을 제거하는 단계이다. 마지막으로, S104는 기판에서 타겟 CD를 갖는 기판 피처를 형성하기 위해 측벽 스페이서를 에칭 마스크로서 사용하여 기판을 방향성 에칭하는 단계이다. 기판 상의 패터닝된 포토레지스트 구조물은 역행(retrograde) 포커스, 반사율을 조절하기 위한 하부층, 및/또는 미리결정된 측벽 테이퍼 또는 기울기를 초래시키는 반응성 종으로 로딩된 하부층을 사용하는 것을 비롯하여, 개시된 기술들 중 하나 이상을 사용하여 형성될 수 있다.
개시된 기술들은 연질 맨드렐 상에 형성된 스페이서에 대한 유효 스페이서 CD 제어를 제공한다. 즉, 개시된 기술들은 다양한 방법들을 사용하여 상이한 유입 포토레지스트(즉, 레지스트) 프로파일들을 튜닝하거나 정정할 수 있다. 도 2는 레지스트 프로파일 경우들과 결과적인 유효 스페이서 CD의 개념적 예시를 도시한다. 기판의 세 개의 상이한 레지스트 프로파일들의 단면 예시들이 도 2의 최상단/첫번째 행("세 개의 상이한 레지스트 프로파일들"로 표시됨)에서 보여지며, 여기서 좌측의 포토레지스트 맨드렐("- 디포커스"로 표시됨)은 기저부가 더 넓되 최상부로 갈수록 좁아지며, 중간의 포토레지스트 맨드렐("인 포커스"로 표시됨)은 기저부와 최상부가 거의 동일한 폭을 가지며, 우측의 포토레지스트 맨드렐("+ 디포커스"로 표시됨)은 최상부가 더 넓되 기저부로 갈수록 좁아진다. "유효 스페이서 CD"라는 용어는 반응성 이온 에칭(reactive ion etch; RIE) 전사 동안 투영된 CD를 지칭하기 위해 여기에서 사용된다. 달리 말하면, z방향의 관점에서, 기울어진 스페이서는 그 두께보다 더 큰 쉐도우(shadow)를 생성할 것이며, 이러한 쉐도우화된 영역은 유효 CD가 될 수 있다. 본 명세서에서 "실제 CD"라는 용어는 스페이서의 두께를 지칭하 위해 사용된다. 스페이서가 수직 또는 거의 수직 배향을 갖는 경우, 실제 CD가 RIE 전사 동안에 전사된다. 유효 CD 대 실제 CD의 예시적인 도해가 도 2의 하단/세번째 행("유효 CD 대 실제 CD"로 표시됨)에서 보여진다.
RIE 에칭은 방향성(이방성) 에칭이기 때문에, 전사되는 패턴은 마스크의 쉐도우이다. 따라서, 기울어진 스페이서는 주어진 스페이서의 두께보다 더 많이 쉐도우화될 수 있다. 그런 후, 기울어짐으로부터의 쉐도우잉으로 인해 전사된 CD는 실제 CD보다 크게 나타날 수 있다. 이것은 도 2의 하단 행에서 예시되어 있다. 쉐도우잉 또는 유효 CD의 양은 기울어진 각도에 따라 달라진다. 도 2의 첫번째 행은 유입 레지스트 프로파일이 다양한 측벽 각도들을 가질 수 있는 방법을 보여준다. 도 2의 두번째/중간 행("일정한 스페이서 두께"로 표시됨)에서, 스페이서 랩핑으로부터의 성막된 스페이서는 유입 레지스트 맨드렐의 프로파일을 따를 수 있다. 일부 스페이서 물질은 또한 주어진 포토레지스트 물질을 더 압축할 수 있다.
스페이서 성막은 대개가 균일한 공정이되, 스페이서 CD를 조절하기 위해 단일 웨이퍼 상의 위치 함수로서 성막의 양을 제어할 수는 없다. 달리 말하면, 성막은 웨이퍼 전체에 걸쳐 균일하다. 그러나, 개시된 기술들은 공정을 튜닝하기 위한 추가적인 노브(knob)를 제공함으로써 교차-웨이퍼(cross-wafer) 제어를 위해 웨이퍼 상의 특정 위치들에서의 유효 스페이서 CD를 제어한다. 그런 후 스페이서는 원하는 유효 CD에 따라 수정된 프로파일을 갖는 포토레지스트 바로 위에 성막될 수 있다. 측벽 스페이서들은 포토레지스트가 기판 상에 있는 동안 제1 각도를 가질 수 있지만, 포토레지스트가 제거(발굴)될 때, 이러한 제거 공정은 종종 측벽 스페이서가 기판에 대한 각도를 변경시키는 것을 초래시킨다. 따라서 초기 측벽 스페이서가 기판에 대해 완벽하게 수직이였던 경우에도, 맨드렐 제거 공정은 서로를 향해 더 많이 기울어진 것과 같이, 상이한 각도를 갖는 측벽 스페이서들을 초래시킬 수 있다. 이것은 도 2에서 볼 수 있다. 도 2의 좌측("- 디포커스" 열)에 도시된 바와 같이, 포토레지스트 맨드렐이 최상부보다 더 넓은 기저부를 가질 때, 각진 측벽 스페이서가 있고, 제거 후, 경사각이 증가한다. 도 2의 중간 열("인 포커스" 열)에 도시된 바와 같이, 완전한 수직 스페이서를 갖더라도, 포토레지스트 맨드렐의 제거는 스페이서 기울어짐을 야기시킬 수 있다. 따라서, 본 명세서의 기술들은 역행 기울기 또는 역 테이퍼를 갖는 포토레지스트 맨드렐; 예를 들어, 최상부가 더 넓고 기저부로 갈수록 좁아지는 맨드렐을 형성하는 단계를 포함한다. 그러면 초기에 형성된 측벽 스페이서는 기판에 수직이 아닐 것이지만, 포토레지스트 맨드렐의 제거 후, 제거는 도 2의 우측 열("+ 디포커스" 열)에서 볼 수 있는 것처럼, 기판에 법선이거나 또는 수직인 스페이서를 초래시킨다. 따라서, 일 실시예에서는, 똑바로 선 측벽 스페이서를 초래시키기 위해 역 테이퍼(즉, 역행) 프로파일을 갖는 것이 제시된다. 즉, 측벽 상의 스페이서 물질의 층은 기판 표면에 대해 수직과는 멀지만, 포토레지스트의 제거 시 응력의 완화를 보상하도록 설계된 제1 미리결정된 각도를 형성할 수 있다. 그 후, 포토레지스트의 제거 후, 측벽 스페이서는 응력의 완화로 인해 수직에 근접하는(제1 각도보다 수직에 더 가까운) 제2 각도를 형성할 수 있다.
일 실시예는 역행 포커스 기술을 포함한다. 이것은 유효 스페이서 CD에 영향을 미치는 레지스트 프로파일과 측벽 각도(side-wall angle; SWA)를 조절하기 위한 포커스 조정을 포함한다. 포커스 오프셋은 스캐너 또는 독립형 플랫폼 상에서 수행될 수 있다. 도 3은 인 포커스의 경우의 레지스트(204), 포커스가 감소된 경우의 레지스트(202), 및 포커스가 증가된 경우의 레지스트(206)의 결과들을 나타낸다. 노광 패턴의 포커스 포인트는 근본적으로 포토레지스트의 층에 대해 보통 사용되었을 때보다 더 높은 포인트까지 상승된다. 이것은 z방향에서의 포커스의 변경이다. 이 포지티브 디포커스는 측벽을 비스듬히 노광하는 결과를 초래하지만, 중심 포커스 포인트에서의 변화 - 일부 포토레지스트들의 경우 - 는 노광이 포토레지스트의 층의 바닥부에서 탈보호(de-protection) 반응을 일으킬 가능성이 적다는 것을 의미할 수 있다. 도 4는 노광의 포커스(f)를 조정하는 것에 튜닝된 포토레지스트의 단면 확대 이미지이다. 이 특정 예시에서, 선량은 34mJ/㎠이였다. 도 4의 우측(f = -40㎚)의 이미지에서는, 라인(맨드렐)의 윗부분이 역 테이퍼를 갖지만, 각 라인은 푸터(footer)를 갖는다는 것에 유의한다. 따라서, 본 명세서에서의 기술들은 또한 푸터의 제거를 돕기 위해 노광을 증대시킬 수 있다.
본 명세서에서의 기술들은 푸터를 제거하기 위해 초기 노광을 증대시키도록 2차 산(또는 염기) 전달을 사용하는 것을 포함한다. 여러가지의 대안적인 실시예들이 있다.
일 실시예에서, 반응성 종이 하부층(예를 들어, 반사방지 코팅(ARC) 층) 내로 로딩된다. 반응성 종의 한가지 예시는 산이다. 하부층 내의 산은 하부층으로부터 레지스트 내로 확산되고, 이에 따라 노광 영역의 바닥부에서의 산 농도를 증가시킨다. 여분의 광이 반사되는 것과는 반대로 흡수되고(정재파로부터 고충을 겪지 않음) 추가적인 산을 생성하여 레지스트의 바닥부 내로 확산시켜서, 푸트 완화뿐만이 아니라 측벽 각도 조정을 돕는다. 따라서, 하부층은, 하부층 없이 제공되었을 때보다 더 큰 농도의 산을 제공하도록 노광 단계를 증대 또는 강화시킬 수 있으며, 이는 노광 후 레지스트의 현상 시 대응하는 더 큰 레지스트의 제거를 제공한다. 다른 예시에서, 하부층은, 하부층 없이 제공되었을 때보다 더 큰 농도의 염기를 제공하도록 노광 단계를 증대 또는 강화시키는 염기를 포함할 수 있으며, 이는 노광 후 레지스트의 현상 시 대응하는 더 적은 레지스트의 제거를 제공한다. 또한, 하부층은 어느 정도 더 많이 반사성이 될 수 있으며, 이는 하부층과의 계면 근처에서 포토레지스트 자체로부터 더 큰 농도의 산을 방출하기 위해 방사선 세기를 증가시킬 수 있다. 도 5는 하부층 로딩을 갖는 마스크 기반 리소그래피 노광의 진행을 나타내는 기판 세그먼트의 도면이다. 도 5는 레지스트(406)(즉, 포토레지스트), 하부층(404), 및 기판(402)을 포함한다. 이 예시에서, 하부층(404)(즉, 하부에 있는 층)은 산(예를 들어, H+)을 생성하기 위한 광산 생성제(photo acid generator; PAG)를 함유한다. 대안적으로 염기가 사용될 수 있다. PAG는 마스크 기반 노광로부터의 화학 방사선(408)에 반응한다. 산의 생성 후, 산은 베이킹 단계를 통해 레지스트(406) 내로 확산된다. 이것은 레지스트(406)의 바닥 부분들에서의 산의 농도를 증가시킨다. 포토레지스트(406)의 층은 PAG와 같은, 광 반응성 종을 포함하지만, 포토레지스트(406)의 층의 아랫부분들에서의 활성화는 포토레지스트(406)의 층의 윗부분들에서의 활성화보다 더 적을 수 있음에 유의한다. 따라서, 하부층으로부터 포토레지스트(406)의 층 내로 운반될 수 있는 산의 제2 공급을 갖는 것은 현상 동안 분해능(resolution) 또는 측벽 각도를 증가시킬 수 있도록 균일한 보호/탈보호(용해도 변화)를 도울 수 있다.
도 6은 하부층(404) 내로의 반응성 종 로딩의 사용이 푸트 완화(foot mitigation)로서도 사용될 수 있는 방법을 나타낸다. 프로파일은 하부층(404) 내로 로딩된 반응성 종 농도의 함수이다. 이 예시에서, 하부층(404)에서 산의 양이 증가함에 따라, 포토레지스트(406)의 상이한 형상들에 의해 도시된 바와 같이, 레지스트에서의 농도 구배가 더 가파르게 된다.
두 개의 상이한 PAG들(하나는 포토레지스트에 있고 하나는 ARC 층에 있음)을 갖는 실시예들에서, 이 PAG들은 동일한 광 파장 또는 상이한 광 파장(대역외 조명)에 반응할 수 있다. 예를 들어, 193㎚ 파장 스캐너를 사용한 초기 패터닝 노광 후, I-라인 플러드 노광을 실행하여 ARC에서 PAG의 양을 활성화할 수 있다. 플러드 노광의 경우, 광산이 균일하게 생성될 것이지만, 생성된 양은 포토레지스트의 층을 용해시키기에는 그 자체가 불충분할 수 있지만, 다른 산과 결합할 경우, 그 합계는 용해에 충분하다는 점에 유의한다. 예를 들어, 트렌치가 일정량의 산을 수용할 수 있고, 하부층이 푸터를 제거하고 역 테이퍼 프로파일을 생성하기 위해 나머지 양의 산을 제공할 수 있다. 다른 실시예에서, 원하는 결과를 달성하기 위해 두 개보다 많은 PAG가 사용될 수 있다.
다른 실시예에서, 하부층 반사율이 조절된다. 주어진 하부층의 반사율의 양은 물질 조성을 변경함으로써 조정된다. 하부층으로부터 더 많은 광을 반사함으로써, 하부층 근처의 산 농도가 증가하고 레지스트 프로파일에 영향을 미친다. 다른 실시예들에서, 반사방지 코팅의 두께가 원하는 산 농도 및/또는 반사율을 위해 조정될 수 있다.
따라서, 하나의 예시적인 실시예에서, 측벽 각도 조정은 서브 나노미터 내지 나노미터 정정만큼 유효 CD를 조정할 수 있다.
이전 설명에서는, 본원에서 사용된 처리 시스템의 특정 기하학적 구조 및 다양한 컴포넌트들과 공정들의 설명들과 같은 구체적인 상세사항들이 진술되었다. 하지만, 본원에서의 기술들은 이러한 구체적인 상세사항들을 벗어난 다른 실시예들에서 실시될 수 있다는 것과, 이러한 상세사항들은 설명을 위한 것일 뿐 제한적 의도를 갖는 것이 아님을 이해해야 한다. 본원에서 개시된 실시예들을 첨부 도면들을 참조하여 설명하였다. 마찬가지로, 설명을 위해, 특정 숫자들, 물질들, 및 구성들이 완전한 이해를 제공하기 위해 진술되었다. 하지만, 실시예들은 이러한 특정 상세사항들 없이 실시될 수 있다. 실질적으로 동일한 기능적 구축물들을 갖는 컴포넌트들은 동일한 참조 문자들로 표시되며, 이에 따라 중복적인 설명은 그 어떠한 것도 생략될 수 있다.
다양한 실시예들을 이해하는 것을 돕기 위해 다양한 기술들이 다수의 개별적 동작들로서 설명되었다. 본 설명의 순서는 이러한 동작들이 반드시 순서 의존적임을 나타내는 것이라고 해석되어서는 안된다. 오히려, 이러한 동작들은 제시 순서로 수행될 필요는 없다. 설명된 동작들은 설명된 실시예와는 상이한 순서로 수행될 수 있다. 추가적인 실시예들에서, 다양한 추가적인 동작들이 수행될 수 있고/있거나 설명된 동작들은 생략될 수 있다.
본원에서 사용된 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 물체를 가리킨다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있고, 예컨대, 반도체 웨이퍼, 레티클과 같은 기저 기판 구조물, 또는 박막과 같이 기저 기판 구조물 상에 있거나 그 위에 있는 층일 수 있다. 따라서, 기판은 패터닝되거나 또는 패터닝되지 않은, 임의의 특정 기저 구조물, 하위층 또는 상위층으로 한정되지 않으며, 이보다는 이러한 임의의 층 또는 기저 구조물, 및 층들 및/또는 기저 구조물들의 임의의 조합을 포함하는 것으로 구상가능하다. 본 설명은 특정 유형의 기판들을 참조할 수 있지만, 이것은 단지 설명용일 뿐이다.
본 발명분야의 당업자는 또한 본 발명의 동일한 목적을 여전히 달성하면서 상술한 기술들의 동작들에 많은 변형들이 취해질 수 있다는 것을 이해할 것이다. 이러한 변형들은 본 발명개시의 범위에 의해 커버되는 것으로 의도된다. 이와 같이, 본 발명의 실시예들의 상기 설명은 이것으로 한정시킨다는 것을 의도한 것은 아니다. 오히려, 본 발명의 실시예들에 대한 그 어떠한 제한들은 아래의 청구범위들에서 제시된다.

Claims (20)

  1. 기판을 패터닝하는 방법에 있어서,
    기판 상에 패터닝된 포토레지스트 구조물을 형성하는 단계 - 상기 패터닝된 포토레지스트 구조물은 상기 기판 내에 형성될 기판 피처를 위한 타겟 임계 치수(critical dimension; CD)에 대응하는 미리결정된 측벽 기울기를 갖는 측벽을 가짐 -;
    상기 측벽 상에 스페이서 물질의 컨포멀(conformal)층을 성막하는 단계;
    상기 스페이서 물질이 상기 기판 상에 형성된 측벽 스페이서로서 남도록 상기 기판으로부터 상기 패터닝된 포토레지스트 구조물을 제거하는 단계; 및
    상기 기판에서 상기 타겟 CD를 갖는 기판 피처를 형성하기 위해 상기 측벽 스페이서를 에칭 마스크로서 사용하여 상기 기판을 방향성 에칭하는 단계
    를 포함하는 기판을 패터닝하는 방법.
  2. 제1항에 있어서,
    상기 패터닝된 포토레지스트 구조물을 형성하는 단계는 마스크 기반 포토리소그래피 시스템을 사용하여 화학 방사선(actinic radiation)의 패턴으로 포토레지스트의 층을 노광하는 단계를 포함하고, 상기 노광은 상기 미리결정된 측벽 기울기에 대응하는 디포커스 포인트(defocus point)에서 수행되는 것인 기판을 패터닝하는 방법.
  3. 제1항에 있어서,
    상기 패터닝된 포토레지스트 구조물을 형성하는 단계는,
    상기 미리결정된 측벽 기울기를 형성하는 것에 대응하는, 미리결정된 물질의 하부층을 형성하는 단계,
    상기 하부층 상에 포토레지스트의 층을 형성하는 단계,
    마스크 기반 포토리소그래피 시스템을 사용하여 화학 방사선의 패턴으로 상기 포토레지스트의 층을 노광하는 단계 - 상기 하부층은 상기 미리결정된 측벽 기울기를 갖는 상기 패터닝된 포토레지스트 구조물에 대응하는 잠재적(latent) 패턴 구조물을 형성하도록 상기 층을 노광하는 단계를 강화시킴 -, 및
    상기 잠재적 패턴 구조물이 상기 기판 상에서 상기 패터닝된 포토레지스트 구조물로서 남도록 상기 기판으로부터 상기 포토레지스트의 부분들을 제거하는 단계
    를 포함한 것인 기판을 패터닝하는 방법.
  4. 제3항에 있어서,
    상기 하부층을 형성하는 단계는, 상기 노광 동안, 상기 잠재적 패턴 구조물이 상기 미리결정된 측벽 기울기를 갖도록 상기 하부층에 인접한 상기 포토레지스트의 영역에서 상기 화학 방사선의 세기를 증가시키도록 구성된 미리결정된 반사율을 갖는 물질의 층을 형성하는 단계를 포함한 것인 기판을 패터닝하는 방법.
  5. 제3항에 있어서,
    상기 하부층을 형성하는 단계는, 상기 잠재적 패턴 구조물이 상기 미리결정된 측벽 기울기를 갖도록 상기 하부층에 인접한 상기 포토레지스트의 영역에서 상기 잠재적 패턴 구조물을 수정하도록 구성된 반응성 종(reactive species)을 갖는 물질의 층을 형성하는 단계를 포함한 것인 기판을 패터닝하는 방법.
  6. 제1항에 있어서,
    상기 미리결정된 측벽 기울기는 상기 패터닝된 포토레지스트 구조물의 제거 후에 상기 스페이서 물질의 층에서 응력의 완화를 보상하도록 구성된 것인 기판을 패터닝하는 방법.
  7. 제6항에 있어서,
    상기 측벽 상의 상기 스페이서 물질의 층은 기판 표면에 대해 제1 각도를 형성하고, 상기 측벽 스페이서는 상기 기판 표면에 대해 제2 각도를 형성하며, 상기 제2 각도는 상기 응력의 완화로 인해 상기 제1 각도보다 수직에 더 가까운 것인 기판을 패터닝하는 방법.
  8. 제7항에 있어서,
    상기 타겟 CD는 상기 스페이서 물질의 층의 두께와 동일한 것인 기판을 패터닝하는 방법.
  9. 제1항에 있어서,
    상기 패터닝된 포토레지스트 구조물을 형성하는 단계는 다른 타겟 임계 치수(CD)에 대응하는 다른 미리결정된 측벽 기울기를 갖는 다른 측벽을 상기 기판의 상이한 영역에서 형성하는 단계를 포함하고, 상기 측벽 기울기 및 상기 다른 측벽 기울기는 상기 기판의 상이한 영역들에서 기판 피처들의 균일한 CD를 제공하도록 구성된 것인 기판을 패터닝하는 방법.
  10. 제2항에 있어서,
    상기 미리결정된 측벽 기울기를 형성하는 것에 대응하는, 미리결정된 물질의 하부층을 형성하는 단계,
    상기 하부층 상에 포토레지스트의 층을 형성하는 단계 - 상기 하부층은 상기 미리결정된 측벽 기울기를 갖는 상기 패터닝된 포토레지스트 구조물에 대응하는 잠재적 패턴 구조물을 형성하도록 상기 노광하는 단계를 강화시킴 -, 및
    상기 잠재적 패턴 구조물이 상기 기판 상에서 상기 패터닝된 포토레지스트 구조물로서 남도록 상기 기판으로부터 상기 포토레지스트의 부분들을 제거하는 단계
    를 더 포함하는 기판을 패터닝하는 방법.
  11. 기판을 패터닝하는 방법에 있어서,
    기판 상에 반사방지 코팅층을 성막하는 단계 - 상기 반사방지 코팅층은 용해도 시프팅(shifting) 성분을 포함함 -;
    상기 반사방지 코팅층 상에 포토레지스트의 층을 성막하는 단계;
    마스크 기반 포토리소그래피 시스템을 사용하여 상기 포토레지스트의 층을 화학 방사선의 패턴으로 노광하는 단계 - 상기 화학 방사선의 패턴의 포커스 포인트는 구조물들의 윗부분들이 대응하는 중간 부분들의 단면들과 비교하여 더 넓은 단면들을 갖도록 하는 측벽 테이퍼를 갖는 상기 구조물들의 잠재적 패턴을 생성하는 미리결정된 포인트로 설정됨 -;
    상기 용해도 시프팅 성분을 상기 포토레지스트의 층의 아랫부분으로 확산시키는 단계; 및
    포토레지스트 구조물들의 단면 폭이 상기 포토레지스트 구조물들의 최상부에서부터 상기 포토레지스트 구조물들의 바닥부까지 감소하도록 하는 측벽 테이퍼를 갖는 상기 포토레지스트 구조물들을 초래시키도록 상기 포토레지스트의 층을 현상하는 단계
    를 포함하는 기판을 패터닝하는 방법.
  12. 제11항에 있어서,
    상기 포토레지스트의 층은 제1 광 파장에 반응하여 제1 광산(photo acid)을 생성하는 제1 광산 생성제를 함유하고, 상기 용해도 시프팅 성분은 제2 광 파장에 반응하여 제2 광산을 생성하는 제2 광산 생성제의 성분인 제2 광산인 것인 기판을 패터닝하는 방법.
  13. 제12항에 있어서,
    상기 제1 광 파장은 상기 제2 광 파장과 상이한 것인 기판을 패터닝하는 방법.
  14. 제13항에 있어서,
    상기 마스크 기반 포토리소그래피 시스템을 사용하여 상기 화학 방사선의 패턴으로 상기 포토레지스트의 층을 노광한 것에 후속하여 상기 제2 광 파장의 플러드(flood) 노광을 상기 기판에 대해 실행하는 단계
    를 더 포함하는 기판을 패터닝하는 방법.
  15. 제11항에 있어서,
    상기 용해도 시프팅 성분은 상기 반사방지 코팅층 상에 침착된 산인 것인 기판을 패터닝하는 방법.
  16. 제11항에 있어서,
    상기 용해도 시프팅 성분은 상기 반사방지 코팅층 내의 유리산(free acid)인 것인 기판을 패터닝하는 방법.
  17. 제11항에 있어서,
    상기 포토레지스트 구조물들 상에 측벽 스페이서들을 형성하는 단계 - 상기 측벽 스페이서들은 상기 포토레지스트 구조물들의 측벽 테이퍼를 채용함 -; 및
    상기 포토레지스트 구조물들을 제거하는 단계
    를 더 포함하는 기판을 패터닝하는 방법.
  18. 제17항에 있어서,
    상기 포토레지스트 구조물들을 제거하는 단계는 주어진 포토레지스트 구조물이 제거됨에 따라 서로로부터의 기하학적 거리가 감소하는 상기 주어진 포토레지스트 구조물로부터의 측벽 스페이서들의 최상부들을 초래시키는 것인 기판을 패터닝하는 방법.
  19. 제11항에 있어서,
    상기 반사방지 코팅층은 부분적으로 반사성이고, 상기 포토레지스트의 층의 바닥 부분들에서 더 많은 광산을 생성하도록 상기 화학 방사선의 패턴의 일부를 상기 포토레지스트의 층으로 되반사시키기에 충분한 것인 기판을 패터닝하는 방법.
  20. 제11항에 있어서,
    상기 반사방지 코팅층 내의 상기 용해도 시프팅 성분의 농도는 상기 포토레지스트의 층으로부터 생성된 광산과 상기 반사방지 코팅층으로부터 생성된 광산의 합이 상기 측벽 테이퍼를 갖는 상기 포토레지스트 구조물들을 초래시키기에 충분하도록 선택된 것인 기판을 패터닝하는 방법.
KR1020227009827A 2019-09-25 2020-09-25 기판 패터닝 KR20220066898A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962905604P 2019-09-25 2019-09-25
US62/905,604 2019-09-25
PCT/US2020/052771 WO2021062188A1 (en) 2019-09-25 2020-09-25 Patterning a substrate

Publications (1)

Publication Number Publication Date
KR20220066898A true KR20220066898A (ko) 2022-05-24

Family

ID=74881943

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227009827A KR20220066898A (ko) 2019-09-25 2020-09-25 기판 패터닝

Country Status (4)

Country Link
US (2) US11782346B2 (ko)
KR (1) KR20220066898A (ko)
CN (1) CN114424321A (ko)
WO (1) WO2021062188A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022063074A (ja) * 2020-10-09 2022-04-21 株式会社東芝 エッチング方法、半導体チップの製造方法及び物品の製造方法
TWI831344B (zh) * 2021-08-25 2024-02-01 美商杰米納帝歐股份有限公司 窄線切割遮蔽方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004093652A (ja) * 2002-08-29 2004-03-25 Seiko Epson Corp レジストパターンの形成方法および半導体装置の製造方法
JP3857692B2 (ja) * 2004-01-15 2006-12-13 株式会社東芝 パターン形成方法
KR101310911B1 (ko) * 2006-06-08 2013-09-25 엘지디스플레이 주식회사 평판 표시장치용 폴리실리콘 박막 트랜지스터 기판의제조방법
KR100983724B1 (ko) * 2007-12-20 2010-09-24 주식회사 하이닉스반도체 반도체 소자의 형성 방법
US20110294075A1 (en) * 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
KR20120063390A (ko) * 2010-12-07 2012-06-15 에스케이하이닉스 주식회사 반도체 소자의 제조 방법
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US9085045B2 (en) * 2011-11-04 2015-07-21 Tokyo Electron Limited Method and system for controlling a spike anneal process
US10446394B2 (en) * 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process

Also Published As

Publication number Publication date
TW202127515A (zh) 2021-07-16
US11782346B2 (en) 2023-10-10
WO2021062188A1 (en) 2021-04-01
CN114424321A (zh) 2022-04-29
JP2022549067A (ja) 2022-11-24
US20230367217A1 (en) 2023-11-16
US20210088907A1 (en) 2021-03-25

Similar Documents

Publication Publication Date Title
US5532090A (en) Method and apparatus for enhanced contact and via lithography
US20230367217A1 (en) Method of patterning a substrate using a sidewall spacer etch mask
TWI662360B (zh) 藉由使用光劑之臨界尺寸控制
TWI625602B (zh) 使用極紫外光微影技術之基板圖案化方法
US20060292501A1 (en) Lithography process with an enhanced depth-on-focus
JP2006337474A (ja) 露光用マスクとその製造方法、及び半導体装置の製造方法
JP7371308B2 (ja) 有機膜の平面化法
US8709267B2 (en) Double patterning method using tilt-angle deposition
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
US6887627B2 (en) Method of fabricating phase shift mask
US7695872B2 (en) Continuous sloped phase edge architecture fabrication technique using electron or optical beam blur for single phase shift mask ret
TWI837423B (zh) 基板圖案化
TWI798241B (zh) 微影製程方法及極紫外線微影製程方法
CN108231550B (zh) 半导体装置的制作方法
US20240176245A1 (en) Photolithography patterning method
KR101023077B1 (ko) 마스크 패턴 형성 방법
Kulkarni et al. Impact of loading effect on retrograde profile of CAMP negative photoresist in metal lift-off applications
US7838181B2 (en) Photo mask and method for manufacturing semiconductor device using the same
KR20100070927A (ko) 현상가능한 반사방지막을 이용한 반도체 소자의 패터닝 방법
JPH0831726A (ja) フォトレジストパターンの形成方法
KR0179339B1 (ko) 감광막패턴 형성방법
KR20240043758A (ko) 패턴 형성 방법
KR100808050B1 (ko) 불화아르곤 노광원을 이용한 패턴 형성 방법
KR20030049900A (ko) 불화아르곤 노광원을 이용한 패턴 형성 방법
KR20030049245A (ko) 불화아르곤 노광원을 이용한 비트라인 형성 방법