JP7371308B2 - 有機膜の平面化法 - Google Patents

有機膜の平面化法 Download PDF

Info

Publication number
JP7371308B2
JP7371308B2 JP2021525734A JP2021525734A JP7371308B2 JP 7371308 B2 JP7371308 B2 JP 7371308B2 JP 2021525734 A JP2021525734 A JP 2021525734A JP 2021525734 A JP2021525734 A JP 2021525734A JP 7371308 B2 JP7371308 B2 JP 7371308B2
Authority
JP
Japan
Prior art keywords
acid
film
labile
membrane
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021525734A
Other languages
English (en)
Other versions
JP2022507256A (ja
JPWO2020102164A5 (ja
Inventor
スミス,ジェフリー
デヴィリアーズ,アントン
ブラント,ロバート
グルゼスコヴィアク,ジョディ
フルフォード,ダニエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2022507256A publication Critical patent/JP2022507256A/ja
Publication of JPWO2020102164A5 publication Critical patent/JPWO2020102164A5/ja
Application granted granted Critical
Publication of JP7371308B2 publication Critical patent/JP7371308B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Description

関連出願の相互参照
本開示は、参照によりその全体が本明細書に組み込まれる、2018年11月13日に出願された米国仮特許出願第62/760622号の利益を主張するものである。
本開示は、半導体デバイスの製造方法、特にトポロジー補正の平面化技術に関するものである。
本明細書に記載される背景技術の説明は、本開示の状況を一般的に示すためのものである。この背景技術の項で説明される範囲における本発明者の研究及び出願時に先行技術として認定されていないであろう記載の態様は、本発明に対する先行技術として明示的にも暗示的にも認められない。
半導体製造には、フォトリソグラフィ及びパターニングプロセスが含まれる。いくつかのフォトリソグラフィプロセスは、ウエハーを下部反射防止コーティング(BARC)材料の薄膜でコーティングすること、続いてレジストでコーティングすること、次いで、マイクロチップを作成するための多くのプロセスステップの1つとして、ウエハーを光のパターンに暴露すること含む。次いで、レジスト内の潜在的パターンを現像させて、下層へのエッチングによるパターン転写などのその後の加工のために使用されるレリーフパターン(トポグラフィックパターン)を得ることができる。
リソグラフィプロセスでは、その上に(任意の反射防止、下層及びスピンオンカーボン膜を含む)フォトレジスト系が像化される層が、可能な限り平面又は平坦であることは、焦点深度(DOF)の観点から望ましい。堆積若しくはコーティングプロセスによって引き起こされる膜不均一性から、又は下層の基材における任意のトポグラフィ変動からの層の平面の任意の変動は、最適焦点を相殺し、そして像品質を低下させ、不十分な局在的な臨界寸法(CD)均一性、線端部粗さ、像曇り又は先細りをもたらし、そしていくつかの場合、2つの構造が結合して局在的架橋欠陥が生じるか、意図されたコンタクトホールが閉鎖して、それによって、下流での統合の欠陥がもたらされる可能性がある。したがって、加工の間に膜平面を改善する解決策が望まれている。
本開示は、膜平面化法であって、表面全体で空間的に可変的な密度を有する構造を基材の表面上に提供することと;構造間を充填する第1の酸不安定性膜を構造及び基材上に堆積させることと;第2の酸不安定性膜を第1の酸不安定性膜上に堆積させることと;予め定められた光の波長を有する放射線を受けることに応じて酸を発生するように構成される酸発生剤を含む酸供給源膜を第2の酸不安定性膜上に堆積させることと;放射線のパターンの予め定められた領域において空間的に可変的な強度を有する放射線のパターンを酸供給源膜上に投射することとを含む、膜平面化法に関するものである。
さらに本開示は、膜平面化法であって、表面全体で空間的に可変的な密度を有する構造を基材の表面上に提供することと;構造間を充填する第1の酸不安定性膜を構造及び基材上に堆積させることと;予め定められた光の波長を有する放射線を受けることに応じて酸を発生するように構成される酸発生剤を含む酸供給源膜を第1の酸不安定性膜上に堆積させることと;構造の製造プロセス並びに構造及び基材上の第1の酸不安定性膜の堆積をエミュレーションすることによって酸供給源膜上で投射する放射線の補正パターンを生成することと;放射線のパターンの予め定められた領域において空間的に可変的な強度を有する放射線の補正パターンを酸供給源膜に投射することとを含む、膜平面化法に関するものである。
さらに本開示は、膜平面化法であって、表面全体で空間的に可変的な密度を有する構造を基材の表面上に提供することと;基材間を充填し、予め定められた光の波長を有する放射線を受けることに応じて酸を発生するように構成される包埋された酸発生剤を含み、酸に可溶性である第1の酸不安定性膜を構造及び基材上に堆積させることと;放射線のパターンの予め定められた領域において空間的に可変的な強度を有する放射線のパターンを第1の酸不安定性膜に投射することとを含む、膜平面化法に関するものである。
本発明の概要の項は、本開示又は特許請求の範囲に記載される本発明の全ての実施形態、及び/又は段階的に新規な態様を明記するものではないことに留意されたい。その代わりに、本発明の概要は、異なる実施形態、及び新規性に関する対応点についての、予備的な考察のみを提供する。本発明及び実施形態のさらなる詳細及び/又は考えられる観点について、読者は、以下にさらに記述される、本開示の発明を実施するための形態の項及び対応する図面を参照されたい。
例として提案する本開示の様々な実施形態を、同様の番号が同様の要素を参照する以下の図を参照して詳細に記述する。
本開示の実施形態による自己整合二重パターニング(SADP)プロセスを示す、基材セグメントの簡略化された断面概略図の側面図である。 本開示の実施形態による、アンチスペーサープロセスの実施例結果を示す基材セグメントの断面透視図である。 本開示の実施形態による、平面化膜でコーティングされた複数のラインスペーサーを示す基材セグメントの断面透視図である。 本開示の実施形態による、断面データによる走査透過電子顕微鏡法(STEM)像である。 本開示の実施形態による、エッチング-凹部形成後の平面化膜を示す基材セグメントの断面透視図である。 本開示の実施形態による、複数のトレンチパターンを有する平面化膜を示す基材セグメントの断面透視図である。 本開示の実施形態による、ハードマスクに転写された複数のトレンチパターンを示す基材セグメントの断面透視図である。 本開示の実施形態による、超低比誘電率(ULK)膜に転写された複数のトレンチパターンを示す基材セグメントの断面透視図である。 本開示の実施形態による、ULK膜にエッチングされるトレンチパターンの意図された最終パターンを示す基材セグメントの断面透視図である。 本開示の実施形態による、初期トポグラフィパターンを示す基材セグメントの断面透視図である。 本開示の実施形態による、コーティングされたトポグラフィパターンを示す基材セグメントの断面透視図である。 本開示の実施形態による、酸不安定性膜でコーティングされた平面化膜を示す基材セグメントの断面透視図である。 本開示の実施形態による、酸供給源膜でコーティングされた酸不安定性膜を示す基材セグメントの断面透視図である。 本開示の実施形態による、光酸発生剤(PAG)の光活性化による酸不安定性膜の補正を示す基材セグメントの断面透視図である。 本開示の実施形態による、エッチング-凹部形成平面化膜を示す基材セグメントの断面透視図である。 本開示の実施形態による、追加的な平面化膜で再コーティングされた平面化膜を示す基材セグメントの断面透視図である。 本開示の実施形態による、ラインスペーサーを露出するための平面化膜の凹部を示す基材セグメントの断面透視図である。 本開示の実施形態による、トレンチパターンを有する平面化膜を示す基材セグメントの断面透視図である。 本開示の実施形態による、ハードマスクに転写されたトレンチパターンを示す基材セグメントの断面透視図である。 本開示の実施形態による、ULK膜に転写されたトレンチパターンを示す基材セグメントの断面透視図である。 本開示の実施形態による、膜厚の減少に関する例示的制御範囲を示すプロットである。 本開示の実施形態による、曝露プロセスに使用されるマンドレルの単純化されたクリップ又は部分を示す基材セグメントの上面図である。 本開示の実施形態による、マンドレルクリップに基づく自己整合二重パターニング(SADP)構造のエミュレーションを示す基材セグメントの上面図である。 本開示の実施形態による、モジュールレベルプロセスでの追加的なマスクのエミュレーションを示す基材セグメントの上面図である。 本開示の実施形態による、酸不安定性膜のための例示的な最終曝露マスクを示す基材セグメントの上面図である。 本開示の実施形態による、例示的な樹脂系の構造式を示す。 本開示の実施形態による、PAGのための酸発生機構の構造式を示す。 本開示の実施形態による、膜平面化法を示すフローチャートである。 本開示の実施形態による、膜平面化法を示すフローチャートである。 本開示の実施形態による、膜平面化法を示すフローチャートである。
以下の開示は、提供される主題の異なる特徴を実施するための多くの異なる実施形態又は実施例を提供する。本開示を単純にするために、構成要素及び構成の特定の例について以下に説明する。当然のことながら、これらは、単なる例に過ぎず、限定することを意図するものではない。例えば、以下に続く説明における第2の特徴の上方又は上での第1の特徴の形成は、第1の特徴と第2の特徴とが直接接触して形成される実施形態を含んでもよく、また、第1の特徴と第2の特徴とが直接接触し得ないように、第1の特徴と第2の特徴との間に追加の特徴が形成され得る実施形態を含んでもよい。加えて、本開示は、様々な例において参照番号及び/又は文字を繰り返すことがある。この繰り返しは、簡略化及び明確化を目的とするものであり、それ自体、考察される様々な実施形態及び/又は構成間の関係を決定付けるものではない。さらに、本明細書では、「上部」、「下部」、「下」、「下方」、「より下」、「上方」、「より上」などの空間的に相対的な用語を、説明を簡単にするために使用して、図に示すような1つの要素又は特徴の、別の要素又は特徴に対する関係を説明することがある。空間的に相対的な用語は、図に示されている向きに加えて、使用中又は動作中のデバイスの異なる向きを包含することを意図している。装置は、それ以外に方向付けられ(90度回転されるか他の向きにある)てもよく、本明細書で使用される空間的に相対的な記述子もそれに応じて解釈することができる。
本明細書に記載する様々な工程の説明の順序は、分かりやすくするために示されているものである。一般に、これらの工程は、任意の適切な順序で実施することができる。加えて、本明細書における異なる特徴、技法、構成などがそれぞれ、本開示の異なる箇所に記述される場合があるが、その概念はそれぞれ、互いに独立して又は互いに組み合わせて実行され得るものとされる。したがって、本発明は、多くの異なる手法で具現化及び考察することができる。
様々な方法を使用して、リソグラフィのための平面化を実行することができる。例えば、既存のトポグラフィ上の基材上に酸化ケイ素などの膜を堆積することができ、そして膜を研磨して、平面又は平坦な膜を製造することができる。別の例において、有機膜(スピンオンカーボンなど)をスピンコーティングして、下層のトポグラフィより平面である表面を生じることができる。また、連続膜堆積、化学的-機械的研磨(CMP)、複数の膜の堆積又はスピンコーティングの組合せを使用して、最終平面表面を生じることができる。
しかしながら、そのような技術には制限を有する可能性がある。例えば、スピンオンコーティングによって、下層のトポグラフィと比較して改善された平面がもたらされるが、そのようなコーティングはナノメートル規模での有意な高度変動を有する可能性がある。この平面は、(計測、その後の処理等で)誤差を防ぐことにおいて、特に例えば、80ナノメートル未満のピッチを有する特徴を印刷する場合、不十分となる可能性がある。CMPも制限を有する可能性がある。CMPは、多くの微細加工プロセスと不適合となる可能性のある腐食性化学物質及び物理的摩耗を使用する可能性がある。例えば、CMPは、特にゲート酸化物が暴露している場合、多くのフロントエンド構造において使用することができない。さらに、CMP処理は、実行するには非常に費用がかかる可能性があり、実施するのが難しく、また通常は機能的集積回路の歩留まりを低減する。
本明細書の技術は、効果的であり、経済的であり、且つ様々な半導体デバイス製造ステップと適合性を有する平面化法を提供する。技術は、現像後、得られた膜が平面であるように、スピンコート又は蒸気堆積された膜の選択された領域の(上下に)深さ制限された溶解度変化を含む。空間的に活性化可能である、溶解度を変化する薬剤を提供するために、スピンコート又は蒸着された膜上に酸含有膜を堆積することができる。技術は、予測されたz-高度マップを生成する複数の微細加工処理ステップをエミュレーションすることを含み、次いで、これを使用して、平面化膜を堆積させる前に下層のトポグラフィを測定することなく曝露補正パターンを生成することができる。したがって、特殊な測定ツール及び研磨ツールを組み込むことなく、コーター-デベロップ(トラック)ツールを使用して、膜を急速、且つ正確に平面化することができる。
複数のパターニングアプローチの開始によって、層又はプロセスモジュールの数の増加があり、その後のリソグラフィ層に影響を及ぼす下層のトポグラフィが存在する。一例において、金属画定に関して、方法は、自己整合二重パターニング(SADP)及び/又は自己整合四重パターニング(SAQP)によって線及び空間格子パターンを形成すること、並びにハードマスク膜に記憶される金属パターンにカット又はブロックを画定及び記憶するためにリソグラフィプロセスを利用することを含む。二重ダマシン処理によって、金属パターンが単一又は複数のハードマスク膜に記憶されたら、有意なトポグラフィを含有するこのパターン化された記憶膜上に、相当するビアパターニングを直接実行することができる。
図1は、本開示の実施形態による、自己整合二重パターニング(SADP)プロセスの簡略化された断面概略図を示す。簡単なプロセスフローが以下に記載される。最初に、基材160の表面上にマンドレル165を形成することができる。例えば、マンドレル165パターンをマスクによって画定し、エッチングによって形成することができる。その後、スペーサー層170を基材160及びマンドレル165上に堆積することができる。マンドレル165の高度より低い凹部をスペーサー層170に形成するようにスペーサー層170をエッチングすることができ、ここでマンドレル165に隣接し、そして基材160の表面上のスペーサー層170の材料は完全に除去される。次いで、マンドレル165を除去し、スペーサー175(示されるように柱に似ている)を表面で残すことができる。SAQPプロセスを実施することが望ましい場合、スペーサー175上でのスペーサー層170の堆積及びスペーサー層170のエッチングを再び繰り返すことができ、この場合、繰り返しステップに関してはスペーサー層170材料は異なる材料であってもよい。
いくつかの場合、非スピコーティング堆積法で見られるであろう、より共形様の堆積と比較して、いくらかの重要でない自己平面化性能を有することができる、予め定められた種類のスピンオン膜の組み込みによって、平面化制御を実行することができる。これらの平面膜は、これらのプロセスモジュールで見られる所与のトポグラフィにおける膜厚の全変動を減少することができる。それにもかかわらず、変動減少は、その後の処理のために不十分である可能性がある。特に、見られる減少は、一般に、プロセスラチチュード制御、特に被写界深度(DOF)に関して、高度なリソグラフィを支持するために十分平坦な表面を維持するためには不十分である可能性がある。平面は、下層のパターンを暴露する(露出する)ための膜のエッチング-凹部形成などの他の種類の非リソグラフィパターニングプロセスを維持するためには不十分である可能性もある。
本出願のために使用することが可能である膜は、例えば、スピンオンカーボン含有膜である。これらの膜によって、無数の利益をもたらすことができる。例えば、この膜は、その後のリソグラフィプロセスのための初期平面化膜として有用となることが可能である。例えば、この膜の材料は、n及びkの光学パラメーターの調整を可能し、望ましくない反射率を減少することが可能な様式での暴露プロセスからの光の吸収を伴うであろう。例えば、この膜は、従来のフォトレジストよりも高いアスペクト比を達成することができる転写層であることが可能であり、そこで、フォトレジストパターンがケイ素含有膜を通して、そしてスピンオンカーボン膜上に転写され、その間、レジストパターンは、スピンオンカーボン膜へのエッチング転写プロセスにおいて消費されることが可能である。典型的なArF曝露に関して、そのような商業的に入手可能なスピンオンカーボン膜の厚さは広範囲で変動可能であるが、反射の観点から、任意の望ましくない反射を抑制するために、通常、700Aの最小厚さであることが可能である。
リソグラフィパターニング解像度は、液浸リソグラフィ及び極紫外(EUV)リソグラフィの組み込みによって減少するため、可能な限りデフォーカスを推進する任意の因子を制御するための、より大きい推進力がある。したがって、スピンオンカーボン膜の厳重な平面化制御の要求が増加している。
その上、アンチスペーサーパターニング画定、階調反転プロセス、金属層のための自己整合ブロック生成、並びに自己整合ビア及びコンタクトなどの複数のパターニングプロセスの開始によって、リソグラフィプロセスの一部として使用される平面光吸収膜として上述された意図された目的以外に、スピンオンカーボンなどの膜を使用することができる応用がある。これらのパターニング用途において、スピンオンカーボン膜は、パターン密度がないか、又は少ない領域と等しいパターン密度の所与の領域を等しく充填するために望ましい間隙充填膜として組み込まれる。
一連の非常に小さいトレンチをパターン化するためのアンチスペーサーパターニング、又は階調反転法の場合に関する一例を説明すると、原子レベルの重要な寸法精度を有する精密なトレンチは、材料がスペーサーパターンに間隙充填され、スペーサーを開放するために凹部が形成され、次いで、スペーサーが掘り出されるスペーサープロセスによってパターン化され、そのようにして精密なトレンチパターンが生じ、次いでこれを下層のハードマスク又は記憶膜に転写することができる。これらの場合、主要な凹部エッチングは、エッチングプロセスを制御するための任意のエッチング停止材料を用いずに盲目的に実施されるため、良好な平面化制御が望ましい。
図2Aは、本開示の実施形態による、アンチスペーサープロセスの実施例結果を示す。一実施形態において、アンチスペーサープロセスは、本開示の実施形態に従って、単一曝露リソグラフィプロセスでは製造が困難である、一連の精密なトレンチを製造するために、パターン化されて、次いでその後掘り出されるスペーサーをもたらす。前述のとおり、「アンチスペーサー」又は「ネガ型スペーサー」プロセスは、マンドレルパターンをパターン化すること、次いでその後、マンドレルパターン上に共形膜を堆積させること、そしてその後、マンドレルの上部を開放するために共形膜のスペーサーオープンエッチングを実行すること、次いで、エッチングプロセスによってマンドレルを除去することを含む。残留パターン(図2A)は、下に配置されるハードマスク210に対するエッチング-コントラストを有することができる複数のラインスペーサー205(本明細書中、「ラインスペーサー205」と記載される)を含む。
図2Bは、本開示の実施形態による、平面化膜215でコーティングされたラインスペーサー205を示す。一実施形態において、スペーサーパターンは、その後、ラインスペーサー205の全てを被覆する平面化膜215によってスピンコート又は化学蒸着(CVD)堆積されることが可能である。スピンオン及びCVD堆積された平面化膜215は、下層のトポグラフィのパターン密度によって決定することができる有意な高度変動を有することが可能であり、例えば、ラインスペーサー205の密度は平面化膜の215の高度変動を決定することができる。図2Bの平面化膜215に見ることができるように、ラインスペーサー205下のより高い密度は平面化膜215におけるより一定な高度変動を生じる(すなわち、それはより平坦である)ことが可能であるが、ラインスペーサー205の密度が減少する(右方向へ向かう)と、高度変動は、平面化膜215の表面上に「波紋」として見ることができる。ラインスペーサー205の密度がゼロに近づくと、もはや領域にラインスペーサー205がないことを意味し、平面化膜215の高度は、より高密度のラインスペーサー205領域上の平面化膜215の高度と比較して、ステップ様の減少を示す。
図2Cは、本開示の実施形態によれば、断面データによる走査透過電子顕微鏡法(STEM)を示す。前記データから、期待されるパターントポグラフィに基づいて、より複雑なウエハーマップを生成することができる。図2Cは、並んで配置された2つの別個の拡大断面像を示す。これらは、高密度トポグラフィの領域(左側の像)及びトポグラフィのない領域(右側の像)上のスピンオン有機膜のコーティングを示す。左側の像において、トポグラフィは、高度65~70nmのSiNラインスペーサー205を含み、高密度ラインスペーサー205を被覆する平面化膜215は、厚さ130nmであり、従来のスピンオンプロセスによって適用される。この場合、高密度(左)及びパターンなし(右)の領域の間の平面化膜215の高度差は約50nmである。
高密度に構造を有する領域及びパターン化されていない(構造がない)領域の間のこの高度変動は、大部分の重要な層曝露が、例えば100nm未満の全DOFを典型的に有し、そして50nmの膜高度変動(図2Cの場合)は、このDOF予算の半分を自動的に消費し、それは複数の他のパラメーターによっても画定されるため、リソグラフィプロセスウインドウの観点から有意である。
図2Dは、本開示の実施形態による、エッチング-凹部形成後の平面化膜215を示す。一実施形態において、平面化膜215は、ラインスペーサー205の上部より下までエッチング-凹部形成される。オーバーエッチングのいくらかの余地は、図2Dに示されるように、全てのラインスペーサー205が「開放された」ことを確実にする。ラインスペーサー205が全て開放された後、それらは、残留平面化膜215の範囲内の複数のトレンチパターン205a(本明細書中、「トレンチパターン205a」と記載される)にラインスペーサー205のラインパターンを変換するために、ラインスペーサー205エッチングプロセスによって基材から選択的に除去される。図2B及び2Cに示される平面化膜215のステップ高度によって、エッチング-凹部形成後に残留平面化膜215がない領域が生じる(右方向へ向かう)。
図2Eは、本開示の実施形態による、トレンチパターン205aを有する平面化膜215を示す。一実施形態において、超低比誘電率(ULK)膜220は、ハードマスク210の下に配列される。図2Fは、本開示の実施形態による、ハードマスク210に転写されたトレンチパターン205aを示す。ハードマスク210の第1の転写エッチングプロセスの間、平面化膜215の範囲内のトレンチパターン205aをハードマスク210に転写することが可能であり、その後、第2の転写エッチングプロセスによってトレンチパターン205aをULK膜220に転写する。
図2Gは、本開示の実施形態による、ULK膜220に転写されたトレンチパターン205aを示す。トレンチがハードマスク210に転写されたら、このトポグラフィのレベルでのこのステップで、ブロック形成及び自己整合ビアパターニングなどのその後のプロセスを実行することができる。平面化膜215の目的は、ハードマスク210への転写の205a前にトレンチパターンを含有することのみならず、トレンチパターン205aがない領域でのエッチングからハードマスク210を保護することでもある。図2E~2Gにおいて、平面化膜215はエッチング-凹部形成によって右側から完全に除去され、したがって、第1の転写エッチングプロセスの間に、ハードマスク210の保護されていない右側は、予め定められた位置でハードマスク210を被覆する残留平面化膜215を有する左側と比較して、よりエッチングされることに留意されたい。第2の転写エッチングプロセスの間、すでに凹部が形成された右側を再びエッチングすることができ、そしてさらに凹部が形成された右側がもたらされる。
図2Hは、本開示の実施形態による、ULK膜220にエッチングされるトレンチパターンの意図された最終パターンを示す。この実施例において、トレンチは最初にTiNハードマスク210に記憶され、そしてトレンチは下層のULK膜220に転写される。右側において、トレンチパターン205aがハードマスク210に記憶されない領域が開放ハードマスク210領域として残り、ハードマスク210が除去されず、したがって、意図されないトレンチパターン205aはこの開放ハードマスク210領域において、そしてその後、ULK膜220にパターン化されず、そして表面を横断してより均一な高度が得られることに留意されたい。
本明細書の技術によって、スピンオン、蒸着又は他の方法で堆積された有機材料を平面化することが可能となる。技術には、補正マップのための膜堆積のエミュレーション/モデル化、非平面表面を平面化するための空間的に選択的な酸拡散の使用が含まれる。
本明細書において、種々の方法ステップを使用することができる。一実施形態において、現像可能な下部反射防止コーティング(dBARC)又はフォトレジスト膜などの脱架橋剤を含有するスピンオン酸不安定性膜を有機層上に堆積することができる。堆積は、下層の有機膜にダメージを与えないスピンコーティング又は化学堆積又は他の堆積方法のいずれかによって実行することができる。特に、透明又はほぼ透明のポリマーと一緒に光酸発生剤(PAG)又は熱酸発生剤(TAG)を含む酸含有膜を酸不安定性膜上にコーティングすることができる。好ましくは、この酸含有膜は、下層の有機膜にダメージを与えないであろう溶媒系を使用する一般的なリソグラフィ現像剤化学によって、容易に除去される。
酸含有膜は、使用される/受け取られる曝露エネルギーの作用として、酸の予め定められた量を発生するように構成される。暴露エネルギーから発生した酸は、下方の酸不安定性膜へと拡散することができ、そしてdBARC膜内での脱架橋反応を引き起こすか、又はフォトレジスト膜の場合、光解離反応を引き起こす。したがって、曝露エネルギーは、曝露、熱拡散及び化学物質現像の後、酸不安定性膜の厚さを直接的且つ局所的に変化させる。
予め定められた光酸発生剤(PAG)は、予め定められた波長に、又は予め定められた波長範囲に感応性であり、したがって、無数の曝露供給源の使用を可能にする。曝露供給源は、例えば、170nm~400nmの範囲の波長にわたるリソグラフィステッパー/スキャナであることが可能である。曝露供給源は、類似の波長範囲で、像を投射するか、又は走査レーザーを使用する直接書き込みシステムであることも可能である。PAG含有膜に使用されるポリマーは、予め定められた波長に対して透明又はほぼ透明であることが可能である。物理的リソグラフィ曝露ステッパー又はスキャナも同様に使用可能であることに留意されたい。別の例において、ウエハーの表面にわたって空間的に曝露エネルギーを変更することができる比較的単純な走査レーザーシステムを使用することができる。
一実施形態において、補正処理のために、厚さ変動のエミュレーションを使用することができる。トポグラフィスタック上のスピンオン、CVD堆積又はPVD(物理的蒸着)堆積膜の厚さ変動(相対的又は絶対的)は、マスクファイル又は他の開始時の様々な入力値に基づいてエミュレーションされることが可能である。例えば、エミュレーションは、starting Graphic Database System(GDS)又はOpen Artwork System Interchange Standard (OASIS) マスクファイルに基づき得る。例えば、マンドレルパターンをマスクファイルから抽出することができ、そして望ましいSADP又はSAQPプロセスにおいて複数の堆積及びエッチングプロセスによってエミュレーションすることができる。エミュレーションは、最終的なエミュレーションされたトポグラフィファイルをもたらすことが可能であり、それによって、(初期)マスクファイルに基づき予め定められたトポグラフィを有するウエハーにわたって堆積された膜の変動が予測される。したがって、集積化フローの1ステップにおいて、初期マスクファイルは、プロセスフローにおけるその後又は非常に後のステップにおいて推定されたトポグラフィに変換されることができ、そしてこのエミュレーションから、予測された局所的膜高度変動をモデル化することができる。
一実施形態において、最終的にエミュレーションされたトポグラフィファイル、及びウエハーにわたるモデル化された堆積膜変動から、酸不安定性膜まで拡散させて、(酸不安定性膜の組成に基づき)脱架橋又は脱保護反応のいずれかを引き起こすために、(座標位置によって)局所的に酸を製造するため、補正曝露マスクファイルを生成することができる。その結果は、酸不安定性膜の絶対膜厚の局所的な減少である。
一実施形態において、酸不安定性膜の組成は、それが堆積する有機膜と類似であることが可能である。すなわち、エッチング速度及びエッチング選択性の観点から、酸不安定性膜及び下層の有機膜のエッチングの間で最小の差異が観察される。或いは、異なるエッチング選択性を有する膜を使用することができる。
一実施形態において、エッチング-凹部形成プロセスは、「平坦化される」(平面化される)酸不安定性(オーバーコート)膜、並びに下層の有機膜で凹部を形成するために実行することができる。この凹部形成エッチングは、最終平面有機膜を達成するためか、又は下層のトポグラフィから終点が検出されることができる点に達するために実行されることができるか、膜が適切な最終平面であるということが知られる循環エッチングプロセスにおける特定の点までエッチングされることができる。
一実施形態において、有機膜に追加的な再コーティングを実行して、より平面な表面トポグラフィを得ることができる。改善された平面又は十分な平面の開始点で、再コーティングすることによって、より平面な膜が得られる。第2のオーバーコートを使用して、所望の絶対の膜高度標的を達成することもできる。その後のリソグラフィプロセスにおいて反射防止膜スタックの一部として有機膜を使用する場合、これは有益である。第2のオーバーコートは、初期のトポグラフィと比較して相対的に平面な表面上に堆積されるため、再コーティングすることによって、全体的な絶対膜平面のさらなる改善を達成することもできる。
認識可能であるように、本明細書の方法は、複数の種々の微細加工プロセスにおいて使用可能である。平面化方法の以前の説明は、添付の図面とともに、以下にさらに説明される。
図3Aは、本開示の実施形態による、初期のトポグラフィパターンを示す。一実施形態において、本明細書の技術の1つの応用は、曝露プロセスにおける任意のDOF変動の最小化のための、その後のリソグラフィ処理のための有機膜の平面化である。種々のトポグラフィのいずれかを基材301上に提供することができる。様々なピッチの複数のラインスペーサー305(本明細書中、「ラインスペーサー305」と記載される)を、ULK膜320上に堆積されることが可能なハードマスク310、例えばTiN膜上でパターン化することができる。このスタックは、例えば、配線工程(back-end-of-line)(BEOL)ロジック適用のために使用されるものと類似であることが可能である。
図3Bは、本開示の実施形態による、コーティングされたトポグラフィパターンを示す。一実施形態において、平面化膜315をラインスペーサー305及びハードマスク310上にコーティングすることができる。平面化膜315は、CVD堆積非晶質カーボン膜又はスピンオン有機膜であって、その後の曝露プロセスに使用される光の予め定められた波長に対する吸収度を提供するように化学的に調整されたものであることが可能である。特に、平面化膜315は、本明細書に記載されるように補正されることが可能な(図2A~2Gのプロセスと類似の)非平面トポグラフィを有することができる。非平面トポグラフィは、例えば、ラインスペーサー305のより高い密度(左側)からラインスペーサー305のより低い又はゼロ密度(右側)へと進行する、平面化膜315の高度におけるステップ様の減少を含むことができる。平面化膜315は、酸に感応性であることが可能である。酸は、酸と接触する平面化膜315の部分を溶解又は脱架橋することができる。
図3Cは、本開示の実施形態による、酸不安定性膜325でコーティングされた平面化膜315を示す。一実施形態において、酸不安定性膜325を、平面化膜315の非平面表面上に堆積することができるか、又はスピンコーティングすることができる。このような酸不安定性膜325は架橋されて、フォトレジスト又は他の補助的なフォトリソグラフィック材料、例えば、スピンオンハードマスク、又はスピンオンケイ素反射防止コーティング(SiARC)膜のいずれかにおいて使用される溶媒に不溶性となることが可能である。酸不安定性膜325は酸不安定性であることが可能であり、酸への暴露によって、酸不安定性膜325における脱架橋反応を引き起こすことが可能である。この脱架橋反応は、予め定められた現像化学物質、例えばテトラメチルアンモニウムヒドロキシド(TMAH)への暴露時に、酸への曝露領域において酸不安定性膜325の厚さを減少することができる。また、酸不安定性膜325は、平面化膜315と類似の化学組成を有することができる。例えば、酸不安定性膜325は、下層の平面化膜315と類似の大西パラメーターを有することができ、それによって、類似のエッチング速度及び選択性が提供される。
一実施形態において、酸不安定性膜325は、dBARCであることが可能である。dBARCは、酸不安定性膜325内での光酸発生剤(PAG)の含有によって酸を発生することができ、その場合、予め定められた光の波長への曝露によってPAGは遊離アニオンに変換され、そして脱架橋反応がもたらされる。
図3Dは、本開示の実施形態による、酸供給源膜330でコーティングされた酸不安定性膜325を示す。一実施形態において、配合物中に設計されたPAGを含まない特定のdBARCを選択することができる。その代わりに、材料は、酸感応性である脱架橋剤を含むことができる。酸不安定性膜325のための酸供給源は、酸不安定性膜325の上で堆積された酸供給源膜330によって提供されることができる。酸供給源膜330は、下層の酸不安定性膜325の局在的領域を選択的に脱架橋するための局在的な酸供給源として利用可能なPAGを含むことができる。
酸供給源膜330の化学組成物は、PAGの感応性に関連して使用される光に対して光学的に透明であることが可能である。感光性応答は、PAG中のアニオン及びカチオンを解離させること、したがって、平面化膜315上に適用された酸不安定性膜325中の脱架橋剤と次いで反応することができる遊離酸を生成することを含むことができる。酸供給源膜330は、PAGがその中で混在することができ、且つ一定の厚さの一定の膜が酸不安定性膜325の上部に堆積することができることを確実にするために等しく分布する、予め定められた波長に対して透明である樹脂又はポリマーを含むことができる。PAGからのアニオン及びカチオンの分離後、酸不安定性膜325を通しての酸の拡散は、酸不安定性膜325の選択された特性に基づいて制御可能である。
酸供給源膜330は、下層の酸不安定性膜325にダメージを与えないであろう溶媒系を含むことが可能であり、そして酸供給源膜330は、それ自体、水性であるか、又はフォトリソグラフィ、例えばTMAHで一般に使用される現像剤化学物質に非常に可溶性であることが可能である。半導体デバイス製造のための予め定められた波長範囲は、例えば170nm~400nmであることが可能であるが、この範囲外の波長を使用することもできる。特定の例として、酸供給源膜330は、メチルイソブチルカルビノール及びプロピレングリコールメチルエーテルアセテートから構成される溶媒共系中にフッ素化アルコールベースのメタクリレートポリマーを含むことができる。例示的な樹脂系は、図5Aに示されており、且つ本開示の実施形態によれば、193nmの曝露波長において透明である。
PAGの選択は、局所的な酸を発生するために使用される曝露の予め定められた波長によって決定されることが可能である。特に、より高い曝露エネルギー/より低い予め定められた波長曝露は、改善された解像度を有することが可能であり、したがって、光酸の局所的な発生に対する制御を厳密化することが可能であるが、より高いウエハー/パス費用がかかる。その上、所与のアニオン型は、曝露プロセスから得られる化学的に誘導された曇りの量を最小化するために、酸不安定性膜325を通しての横方向の拡散とは対照的に望ましい垂直方向の拡散に基づいて決定されることが可能である。PAGアニオン中のより低いフッ素含有量がより多くの垂直方向の拡散プロファイルを推進することができるため、PAGアニオンをトリフレートベースであるように制限することは有益であることが可能である。対照的に、ナノフレート及びペルフルオロオクタンスルホネート(PFOS)などアニオン中でより高いフッ素含有量を使用することは、より多くの横方向の拡散プロファイルを推進する可能性がある。そのようなトリフレートベースのPAGに関する酸の発生機構は、本開示の一実施形態による、図5Bに示される。
図3Eは、本開示の実施形態による、PAGの光活性化による酸不安定性膜325の補正を示す。次いで、フォトマスクによって、又はウエハー上のそれぞれの点における局所的な透過の量を制御することができる直接書き込みシステムによって、予め定められた量の酸を局所的に発生させることができる曝露プロセスによって、酸不安定性膜325及び酸供給源膜330を送ることができる。酸不安定性膜325中の脱架橋されたポリマーの量が上記の酸供給源膜330中で発生した酸の量に正比例することが可能であるように、予め定められた量の酸は、下層の酸不安定性膜325に直接的な影響を及ぼすことができる。反応熱プロセスなどの反応プロセスの適用時に、酸不安定性膜325中の脱架橋されたポリマーは、リソグラフィ、例えばTMAHで使用される現像剤化学物質に可溶性となることが可能である。この現像ステップの間、酸供給源膜330を除去することも可能であり、したがって、酸不安定性膜325の現像に関する可能性がもたらされる。このような様式で、平面化膜315の任意の非平面は、図3Eで示すように現像後の酸不安定性膜325において逆に対処することができる。
基材301上の座標位置によって曝露量が変化する曝露において、酸は、パターン密度全体で異なる濃度で発生する。例えば、期待されるパターン密度プロファイルに対して特に調整された段階的フォトマスクを使用することができる。下層のパターン密度がより高く、相当する膜高度がより高い領域では、比較的より多くの酸が発生することができる。同様に、下層のパターン密度がより低いか、又は存在せず、相当する膜高度がより低い領域において、比較的より少ない酸が発生することができる。図3Eの右側において、段階的な曝露、焼成及びTMAH現像後の残留酸不安定性膜の現像後プロファイルは、初期平面化膜315の全体的なプロファイルを示し、残留酸不安定性膜325が表面全体で比較的より平面であるトポグラフィを生じることに留意されたい。フォトマスクプロセスに使用される曝露エネルギーの差に相関する不均一性端部が生じる可能性があるが、不均一性端部は、本明細書で記載されるように必要に応じて、さらに平滑化されることが可能である。
図3Fは、本開示の実施形態による、エッチング-凹部形成された平面化膜315を示す。一実施形態において、下層の平面化膜315と類似の大西パラメーターを有し、且つ下層の平面化膜315と比較してより良好な範囲の平面化を有する、現像された酸不安定性膜325を、次いで、ラインスペーサー305の上部に対する終点で、エッチング-凹部形成することができる。適合されたエッチング速度によって、下層のラインスペーサー305の上部に到達した時、パターン化されたラインスペーサー305領域及びラインスペーサー305を有さない領域によって十分な被覆率でエッチング-凹部形成を停止することができる。
別の実施形態において、下層のトポグラフィ又は構造を変更する必要はなくてもよい。この実施例において、平面化膜315の凹部が下層のラインスペーサー305の上部に到達したら、酸不安定性膜325の全てはエッチングされることができるか、又は除去されることができる。これによって、エッチング-凹部形成された平面化膜315上での平面化膜315の再堆積が可能となる。エッチング-凹部形成された平面化膜315が、開始トポグラフィと比較して改善された平面化をすでに有するため、平面化膜315のさらなるコーティングは、本開示の一実施形態による、図3Gに図示されるように、より平面である膜を生じることができる。選択された材料次第で、初期の非平面のほとんど、例えば、100nmの平面化膜の50nmを、10nm以下まで減少させることができる(80%改善)。他の材料及び曝露パラメーター(例えば、曝露の解像度)は、平面のさらなる改善を有することができる。
図3Hは、本開示の実施形態による、ラインスペーサー305を露出するための平面化膜315の凹部を示す。一実施形態において、その後、プロセス中に掘り出されるであろうラインスペーサー305の上部を暴露するためのエッチング又はブランケット暴露凹部形成によって、次いで平面化膜315を再び凹部形成することが可能である。そのような凹部形成は、全ての意図されたラインスペーサー305が開放され、露出のために利用可能であることを確実にするために、オーバーエッチングのいくらかの余地を有することが可能であることに留意されたい。或いは、プロセスは残留スペーサーを残し、階調反転トレンチの形成を防止する。
図3Iは、本開示の実施形態による、トレンチパターン305aを有する平面化膜315を示す。ラインスペーサー305が露出されたら、残留平面化膜315内に含まれる複数のトレンチパターン305a(本明細書中、「トレンチパターン305a」と記載される)にラインスペーサー305パターンを階調反転するためのエッチングプロセスによって、ラインスペーサー305を掘り出すことができる。
図3Jは、本開示の実施形態による、ハードマスク310に転写されたトレンチパターン305aを示す。図3Kは、本開示の実施形態による、ULK膜320に転写されたトレンチパターン305aを示す。ハードマスク310の第1の転写エッチングプロセスの間、平面化膜315の範囲内のトレンチパターン305aをハードマスク310に転写することが可能であり、その後、第2の転写エッチングプロセスによってトレンチパターン305aをULK膜320に転写する。初期のトポグラフィ/構造を有さない領域上に平面化膜315又は酸不安定性膜325の十分な厚さがあることを確実にすることによって、非パターン化領域におけるトレンチパターン305a転写エッチングの間にハードマスク310が保護されるため、この技術は非常に有益である。したがって、トレンチが望ましくないハードマスク310及びULK膜320の領域において、意図されないトレンチはパターン化されない。
本明細書の技術は、基材上で暴露する補正像を生成するためのフォトマスクプロセスを含む。そのようなフォトマスク生成は、エミュレーション技術を含むことができる。エミュレーション技術を使用することによって、計測学ツールによるトポグラフィの測定及びマッピングと比較して、プロセス時間を改善することができる。
図4Aは、本開示の実施形態による、曝露プロセスに使用されるマンドレルの単純化されたクリップ又は部分を示す。一実施形態において、初期のマスクファイル、例えばGDS又はOASISマスクファイルは、初期のリソグラフィプロセスを量的にマッピングするために使用することができ、これはトポグラフィを間接的に画定する。
図4Bは、本開示の実施形態による、マンドレルクリップをベースとするSADP構造のエミュレーションを示す。エミュレーションソフトウェア、例えばSynopsys Process Explorer又はCoventor SEMulator3Dを使用して、次いで、スペーサーパターンがスペーサー開放/マンドレルプルエッチング後に見えるであろうものをエミュレーションし、そしてマスクファイルに結果を組み込むことができる。例えば、このエミュレーションは、GDS又はOASISファイルに変換されることができる。
次いで、任意のパターン化されたスペーサー(例えばラインスペーサー305)を切断することを意図する任意のリソグラフィステップも、最終トポグラフィマップを作成するために、さらにエミュレートされることが可能である。図4Cは、本開示の実施形態による、モジュールレベルプロセスでの追加的なマスクのエミュレーションを示す。モジュールレベルプロセスは、例えば、スペーサーカット又はブロックを含むことができる。最終トポグラフィマップから、エミュレーションソフトウェアを使用して、提供されたエミュレーションされたトポグラフィ上の平面化膜315の高度を算出することができる。
次いで、Synopsys Process Explorer又はCoventor SEMulator3Dなどのエミュレーションソフトウェアを使用して、トポグラフィ及び材料並びに前記膜及びプロセスに関するケイ素データと十分に相関する堆積プロセスに基づき、平面化膜315の厚さ変動を予測することができる。
図4Dは、本開示の実施形態による、酸不安定性膜325のために例示的な最終曝露マスクを示す。次いで、マスクファイルに複合マスクを作成することができ、そこで可能な限り平面になるように平面化膜315及び酸不安定性膜325の全体の膜高度を生じるために、設定された曝露勾配又は曝露エネルギーをパターンの特定の部分に供給することができる。この複合マスクは、製造プロセスフローの所与の点で基材301シグネチャーを表すことができる。次いで、この基材301シグネチャーを使用して、基材301上の座標位置による標的とされる酸発生のための基材上での曝露のための補正マスク又は像を作成することができる。例えば、図4Dの左側は比較的より多くの曝露放射を受けることができるが、中央のセグメントは比較的中程度の曝露放射を受け、そして右側は、わずかな曝露放射を受けるか、又は曝露放射を受けない。
図3Lは、本開示の実施形態による、膜厚さの減少に関する例示的な制御範囲を示す。これらの領域の各々で供給されるエネルギーは、ケイ素実証によって決定することが可能であり、そして一例として図3Lで示すように、酸不安定性膜325並びに酸供給源膜330のために使用される配合物次第である可能性がある。酸供給源膜330は、酸拡散による酸不安定性膜325の減少のための適切な制御範囲であろうものと一致するPAGレベルを装填することができる。所与のPAGに関するこの作用のそのような例を図3Lに示す。曝露エネルギーの作用としての酸不安定性膜325の厚さの減少に関する線形制御範囲は、193nmの波長、及び酸供給源膜330の初期PAG装填に示される。制御範囲が、考慮される厚さ変動範囲内で線形であること、そして曝露エネルギーが予め定められた最適化された範囲内であることを確実にすることは、有益となる可能性がある。
実際のマスクファイルのみがベーストポグラフィ評価にアクセスされ得るため、エミュレーション関数は平面化制御方法論に対して有益である。エミュレーションソフトウェアの組み込みによって、複数処理パターニングモジュールの複数のプロセスステップにマスクされたウエハーを通し、平面化膜315を堆積させる直前にウエハーの上にあるであろう実際の最終パターンを誘導することができる。したがって、別個の曝露プロセスにおいてモデル化される任意の補正は、曝露エネルギーを使用して酸不安定性膜325を平面化するために曝露パターンはどのように見えるべきかという容易な変換のためにマスクファイルまでそれ自体が変換されることが可能なエミュレーションされたトポグラフィをベースとすることができる。
一実施形態において、下層のトポグラフィの「ダミー」又は「アシスト」構造を組み込むことは、下層のパターンの極値的移行領域を制限することができる。これらのアシスト構造は、この場合、消去領域の活性パターンの部分でない単純なスペーサーであることが可能であるか、或いはそれらは、ダミー又はアシストパターンを開放パターン領域において下層の有機層に転写することができる別個の曝露によって形成されることが可能であり、そこで残留アシスト又はダミーパターンは、使用される平面化膜と類似の組成物である。したがって、そのように使用されるダミー構造を、プロセスにおいて平面化膜に組み込むことができる。
本明細書における別の実施形態において、リソグラフィ目的のために平面膜を残す代わりに、本明細書の平面化は、単一曝露リソグラフィプロセスによってパターン化することは不可能であった一連の精密なトレンチを製造するために、アンチスペーサー又はネガ型スペーサープロセスのために使用される。
開始点は、再び、典型的なBEOLロジックモジュールにおいてULK膜320上のハードマスク310上でラインスペーサー305がパターン化されるところであることができる。特にこの実施形態において、半高密度パターンからパターンフィルが全くなくなるまで移行する(左側から右側へ)下層のラインスペーサー305パターンの界面周囲で、絶対膜厚において周知のステップ高度変動を生じるために、酸不安定性膜325をトポグラフィ上に直接堆積することができる。したがって、以下の説明は、前記の実施形態説明及び図3A~3Fと類似であるが、平面化膜315の堆積は省略可能である。
酸供給源膜330は酸不安定性膜325上にコーティングされることが可能であるか、又は酸不安定性膜325の代わりに感光性膜が使用される場合(例えば、i-ラインフォトレジスト膜)、上に酸供給源膜330は必要とされない。例えば、本明細書中、酸不安定性膜325は、一体化されたPAG系を有さず、そして上層の酸供給源膜330によって供給される酸次第である。局所的な曝露、酸の活性化、脱架橋及び現像後、酸不安定性膜325を有意に平面化することができる。例えば、酸不安定性膜325は、エッチング-凹部形成プロセス、又はさらなる曝露及び酸拡散によって、さらに凹部形成が可能である。
酸拡散による凹部形成は、トポグラフィの上部の方向へ曝露エネルギーを増加させることによって増加させることが可能であるか、又は追加的なエッチング-凹部形成を利用して、所望のレベルの凹部形成を達成することができる。凹部形成が完成した後、酸不安定性膜325の絶対平面化をさらに改善するために、酸不安定性膜325上に、より酸不安定性の膜325を再コーティングすることが可能である。プロセスにこのステップを追加することの利点は、ハードマスク310が常にアンチスペーサー又はネガ型スペーサープロセスにおけるその後のスペーサー-プル操作において保護されるように、トポグラフィの任意のパターン化された充填領域とトポグラフィのない消去領域との間の移行から得られるプロファイルにおける任意の「沈下」を逆にすることである。
酸不安定性膜325のエッチング凹部形成は、ラインスペーサー305を露出するために実行することができる。一実施形態において、その後、プロセス中に掘り出されるであろうラインスペーサー305の上部を暴露するためのエッチング又はブランケット暴露凹部形成によって、次いで酸不安定性膜325を再び凹部形成することが可能である。そのような凹部形成は、全ての意図されたラインスペーサー305が開放され、露出のために利用可能であることを確実にするために、オーバーエッチングのいくらかの余地を有することが可能であることに留意されたい。或いは、プロセスは残留スペーサーを残し、階調反転トレンチの形成を防止する。
ラインスペーサー305が露出されたら、残留酸不安定性膜325内に含まれる複数のトレンチパターン305aにラインスペーサー305パターンを階調反転するためのエッチングプロセスによって、ラインスペーサー305を掘り出すことができる。
ハードマスク310の第1の転写エッチングプロセスの間、酸不安定性膜325の範囲内のトレンチパターン305aをハードマスク310に転写することが可能であり、その後、第2の転写エッチングプロセスによってトレンチパターン305aをULK膜320に転写する。初期のトポグラフィ/構造を有さない領域上に酸不安定性膜325の十分な厚さがあることを確実にすることによって、非パターン化領域におけるトレンチパターン305a転写エッチングの間にハードマスク310が保護されるため、この技術は非常に有益である。したがって、トレンチが望ましくないハードマスク310及びULK膜320の領域において、意図されないトレンチはパターン化されない。
別の実施形態は、基材平面化法を含む。第1のトポグラフィックパターンを得るために、基材上で暴露される初期リソグラフィパターンを画定するマスクレイアウトデータがアクセスされる。例えば、OASIS又はGDS IIファイルがアクセスされる。第1のトポグラフィックパターンの第1のモデル上で第1の微細加工処理をエミュレーションして、第2のトポグラフィックパターンの第2のモデルが得られる。第2のトポグラフィックパターンは、第1のトポグラフィックパターンからの構造と比較して、減少したピッチを有する構造を含む。言い換えると、マスクパターンは、潜在的パターンの現像後、又は下層へのトポグラフィックフォトレジストパターンの転写後に基材上に見えるであろう構造をモデル化するために使用される。
第2のトポグラフィックパターンの第2のモデル上で第2の微細加工処理をエミュレーションして、第2のトポグラフィックパターン上に堆積されたオーバーコートを有する第3のモデルが得られる。オーバーコートの第3のモデルは、基材全体でオーバーコートのz-高度値を予測することを含む。言い換えると、構造の初期のパターンがモデル化された後、その上に堆積する1つ又はそれ以上のオーバーコートは、基材上の位置に基づきモデル化された膜の相対的又は絶対的高度を確認することができるようにモデル化される。
補正マスクレイアウトデータは、オーバーコートの予測されたz-高度値に基づいて生成される。例えば、この補正データは、OASIS又はGDS IIファイルの形態であることが可能である。したがって、基材全体の高度差のマップを作成するために基材を測定する代わりに、膜堆積をモデル化することによって、予測z-高度値のマップを作成し、そして補正データを生成することができる。例えば、補正データによって、一般に平面であるか、又は最初に堆積された膜と比較してより少ないz-高度差を有する膜高度を得るためには、基材上のそれぞれの座標位置において、どの程度の膜が除去されなければならないかということを確認することができる。
基材は、第1の微細加工処理によって、そして第2の微細加工処理によって処理されて、第2のトポグラフィックパターン上に堆積されたオーバーコートが得られる。言い換えると、マスクパターンの作成、エッチングによるパターン転写、膜の堆積などの物理的処理ステップが実行される。第1の微細加工処理及び第2の微細加工処理のそれぞれは、複数の加工処理ステップ又は処理を含むことができる。
曝露放射線のパターンを基材上に投射する。曝露放射線のパターンは、補正マスクレイアウトデータに基づくz-高度修正パターンである。例えば、z-高度修正パターンは、オーバーコートの可溶性上部部分を作成するために酸発生及び拡散によってそれらの所与の点位置において所望の高度減少に影響を及ぼすために、基材全体の所与の点位置でどの程度の曝露放射線を暴露するべきかについて示すことができる。これらの可溶性上部部分は、次いで、現像剤を使用して除去することができる。オーバーコート自体が酸発生剤化合物を含むことができるか、又は発生剤化合物をオーバーコート上に堆積することができる。可溶性上部部分又は追加的なオーバーコートを除去した後に露出される構造を処理することによって、処理を続けることができる。
したがって、本明細書の技術は、空間的に制御されるモデル化及び上下酸拡散を使用して、正確且つ経済的な平面化プロセスを提供する。
図6は、本開示の実施形態による、膜600を平面化する方法のためのフローチャートを示す。ステップS601において、基材301の表面上にラインスペーサー305が提供される。ステップS603において、ラインスペーサー305及び基材301上に平面化膜315が堆積される。特に、平面化膜315は、酸不安定性材料であることが可能である。ステップS605において、平面化膜315上に酸不安定性膜325が堆積される。ステップS607において、酸不安定性膜325上に酸供給源膜330が堆積される。ステップS609において、PAGから酸を可溶化し、平面化膜315中に酸を拡散するために、酸供給源膜330上に放射線のパターンが投射される。ステップS611において、酸によって可溶化された平面化膜315の部分を現像剤などの除去流体によって除去する。
図7は、本開示の実施形態による、膜700を平面化する方法のためのフローチャートを示す。ステップS701において、基材301の表面上にラインスペーサー305が提供される。ステップS703において、ラインスペーサー305及び基材301上に酸不安定性膜325が堆積される。ステップS705において、酸不安定性膜325上に酸供給源膜330が堆積される。ステップS707において、平面化膜315のトポロジーに基づいて、放射線の補正パターンが生成される。ステップS709において、PAGから酸を可溶化し、酸不安定性膜325中に酸を拡散するために、酸供給源膜330上に放射線の補正パターンが投射される。ステップS709において、酸によって可溶化された酸不安定性膜325の部分を現像剤などの除去流体によって除去する。
図8は、本開示の実施形態による、膜800を平面化する方法のためのフローチャートを示す。ステップS801において、基材301の表面上にラインスペーサー305が提供される。ステップS803において、ラインスペーサー305及び基材301上に酸不安定性膜325が堆積される。特に、酸不安定性膜325は、膜中に包埋されたPAGを含むことができる。ステップS805において、PAGから酸を可溶化するために、酸不安定性膜325上に放射線のパターンが投射され、その後、酸は酸不安定性膜325の部分を可溶化する。ステップS80において、酸によって可溶化された酸不安定性膜325の部分を現像剤などの除去流体によって除去する。
要約すると、本開示は、膜平面化法であって、表面全体で空間的に可変的な密度を有する構造を基材の表面上に提供することと;構造間を充填する第1の酸不安定性膜を構造及び基材上に堆積させることと;第2の酸不安定性膜を第1の酸不安定性膜上に堆積させることと;予め定められた光の波長を有する放射線を受けることに応じて酸を発生するように構成される酸発生剤を含む酸供給源膜を第2の酸不安定性膜上に堆積させることと;放射線のパターンの予め定められた領域において空間的に可変的な強度を有する放射線のパターンを酸供給源膜上に投射することとを含む、膜平面化法に関する。
酸供給源膜から拡散された酸によって可溶化された第2の酸不安定性膜の部分を除去することができる。可溶化部分は、予め定められた除去流体から保護されない。第2の酸不安定性膜の平面は、酸によって可溶化された第2の酸不安定性膜の部分を除去した後、決定されることが可能である。第2の酸不安定性膜の平面が、構造の高度によって決定される予め定められた閾値を越えることを決定することに応じて、第2の酸不安定性膜は、予め定められた厚さ未満で凹部形成することが可能である。第2の酸不安定性膜の平面が、予め定められた閾値より低いことを決定することに応じて、酸によって可溶化された第2の酸不安定性膜の部分を除去した後、第2の酸不安定性膜上に第2の酸不安定性膜の第2の堆積を実行することができる。放射線のパターンは、基材の表面上の構造上の第1の酸不安定性膜の堆積をエミュレーションすることによって、決定されることが可能である。
酸供給源膜上に放射線のパターンを投射することは、基材全体で第2の酸不安定性膜の上部表面に沿って高度値を特徴づける高度マップに基づき、放射線の空間的に可変性の強度を変動させることを含むことができる。酸発生剤は、光酸発生剤(PAG)であることが可能であり、そして放射線のパターンを投射することによって、放射線を受けることに応じて、PAGが酸を発生することを引き起こす。放射線のパターンの予め定められた領域において発生する酸の濃度は、高度マップに基づくことが可能である。第2の酸不安定性膜は、予め定められた濃度閾値より高い酸の存在に応じる溶解度シフトを有することができる。第1の酸不安定性膜の層パターンを下層中に転写することができる。
所与の時間で、且つ予め定められた温度で基材を焼成することができる。焼成は、第2の酸不安定性膜への酸の拡散を促進し、及び、第2の酸不安定性膜への酸の拡散距離は所与の時間及び予め定められた温度に基づく。放射線のパターンを投射することは、独立してアドレス指定可能投射点の配列を含むピクセルベースの投射システムによって実行可能であるか、又は放射線のパターンを形成するために、網線を用いて実行可能である。堆積された第1の酸不安定性膜のトポロジーは、非平面である可能性があり、且つ構造の空間的に可変的な密度に基づく可能性がある。
さらに本開示は、膜平面化法であって、表面全体で空間的に可変的な密度を有する構造を基材の表面上に提供することと;構造間を充填する第1の酸不安定性膜を構造及び基材上に堆積させることと;予め定められた光の波長を有する放射線を受けることに応じて酸を発生するように構成される酸発生剤を含む酸供給源膜を第1の酸不安定性膜上に堆積させることと;構造の製造プロセス並びに構造及び基材上の第1の酸不安定性膜の堆積をエミュレーションすることによって酸供給源膜上で投射する放射線の補正パターンを生成することと;放射線のパターンの予め定められた領域において空間的に可変的な強度を有する放射線の補正パターンを酸供給源膜に投射することとを含む、膜平面化法に関するものである。
酸供給源膜上に投射する放射線の補正パターンは、構造の製造プロセス、並びに構造及び基材上の第1の酸不安定性膜の堆積をエミュレーションすることによって生成することができる。酸供給源膜上に放射線の補正パターンを投射することは、基材全体で第1の酸不安定性膜の上部表面に沿って高度値を特徴づける高度マップに基づき放射線の空間的に可変的な強度を変動することを含むことができる。酸発生剤は光酸発生剤(PAG)であることが可能である。放射線の補正パターンを投射することによって、放射線を受けることに応じて、PAGが酸を発生することを引き起こす。放射線の補正パターンの予め定められた領域において発生する酸の濃度は、高度マップに基づくことが可能である。拡散された酸によって可溶化された第1の酸不安定性膜の部分を酸供給源膜から除去することができ、そして可溶化部分は、予め定められた除去流体から保護されない。第1の酸不安定性膜の平面は、酸によって可溶化された第1の酸不安定性膜の部分を除去した後、決定されることが可能である。第1の酸不安定性膜の平面が、構造の高度によって決定される予め定められた閾値を越えることを決定することに応じて、第1の酸不安定性膜は、予め定められた厚さ未満で凹部形成することが可能である。第1の酸不安定性膜の平面が、予め定められた閾値より低いことを決定することに応じて、酸によって可溶化された第1の酸不安定性膜の部分を除去した後、第1の酸不安定性膜上に第1の酸不安定性膜の第2の堆積を実行することができる。
さらに本開示は、膜平面化法であって、表面全体で空間的に可変的な密度を有する構造を基材の表面上に提供することと;基材間を充填し、予め定められた光の波長を有する放射線を受けることに応じて酸を発生するように構成される包埋された酸発生剤を含み、酸に可溶性である第1の酸不安定性膜を構造及び基材上に堆積させることと;放射線のパターンの予め定められた領域において空間的に可変的な強度を有する放射線のパターンを第1の酸不安定性膜に投射することとを含む、膜平面化法に関するものである。
さらに本開示は、基材平面化法であって、第1のトポグラフィックパターンを得るために、基材上で暴露される初期のリソグラフィパターンを画定するマスクレイアウトデータにアクセスすることと;第1のトポグラフィックパターンの第1のモデル上で第1の微細加工処理をエミュレーションして、第1のトポグラフィックパターンからの構造と比較して、減少したピッチを有する構造を有する、第2のトポグラフィックパターンの第2のモデルが得られることと;第2のトポグラフィックパターンの第2のモデル上で第2の微細加工処理をエミュレーションして、基材全体でのオーバーコートの予測z-高度値を含む、第2のトポグラフィックパターン上に堆積されたオーバーコートを有する第3のモデルが得られることと;オーバーコートの予測z-高度値に基づく補正マスクレイアウトデータを生成することと;第1の微細加工処理及び第2の微細加工処理によって基材を処理して、第2のトポグラフィックパターン上に堆積されたオーバーコートが得られることと;補正マスクレイアウトデータに基づくz-高度修正パターンである化学線のパターンを基材上に投射することとを含む、基材平面化法に関する。
前述の説明では、処理システムの特定の形状並びにそこで使用される様々な構成要素及びプロセスの説明など、特定の詳細について説明してきた。しかしながら、本明細書における技術は、これらの特定の詳細から逸脱する他の実施形態で実施することができ、そのような詳細は、説明のためのものであり、限定のためのものではないことを理解されたい。本明細書で開示される実施形態について、添付の図面を参照して記載してきた。同様に、説明の目的で、詳細な理解を提供するために特定の数字、材料、及び構成について述べてきた。それにもかかわらず、そのような具体的な詳細なしで実施形態が実施されてもよい。実質的に同じ機能的構成を有する構成要素は、同様の参照記号によって示され、したがって、冗長な説明は省略されている場合がある。
様々な実施形態の理解を支援するために、様々な技術を複数の個別の動作として説明してきた。説明の順序は、これらの動作が必ず順序に依存することを意味すると解釈されるべきではない。実際に、これらの動作は、提示した順序で実行される必要はない。説明された動作は、説明された実施形態と異なる順序で実行され得る。追加の実施形態では、様々な追加の動作を実行することができ、且つ/又は説明した動作を省略することができる。
本明細書で使用される「基材」又は「標的基材」は、本発明に従って処理される物体を総称して指す。基材は、デバイス、特に半導体又は他の電子デバイスの任意の材料部分又は構造を含むことがあり、例えば半導体ウエハー、レチクルなどのベース基材構造又は薄膜などのベース基材構造上の若しくはそれに重なる層であり得る。したがって、基材は、いかなる特定のベース構造、下層又は上層、パターン付き又はパターンなしにも限定されず、むしろ任意のそのような層若しくはベース構造並びに層及び/又はベース構造の任意の組合せを含むことが企図されている。説明は、特定の種類の基材を参照し得るが、これは、例示のみを目的とするものである。
また、当業者であれば、本発明の同じ目的を達成しながら、上記で説明した技術の動作に対してなされる多くの変形形態が存在し得ることを理解するであろう。そのような変形形態は、本開示の範囲に包含されることが意図されている。したがって、本発明の実施形態の前述の説明は、限定することを意図したものではない。むしろ、本発明の実施形態に対するいかなる限定も以下の特許請求の範囲に提示されている。

Claims (16)

  1. 膜を平面化する方法であって、
    基材の表面上に構造を提供するステップであって、前記構造は、前記基材にわたって空間的に可変な密度を有する、ステップと、
    前記構造及び前記基材の上部に第1の酸不安定性膜を堆積させるステップであって、前記第1の酸不安定性膜は、前記構造の間を充填する、ステップと、
    前記第1の酸不安定性膜の上部に第2の酸不安定性膜を堆積させるステップと、
    前記第2の酸不安定性膜の上部に酸供給源膜を堆積させるステップであって、前記酸供給源膜は、酸発生剤を含み、所定の光の波長を有する放射線を受光することに応じて酸が発生する、ステップと、
    放射線のパターンを前記酸供給源膜にわたって投射するステップであって、前記放射線は、前記放射線のパターンの所定の領域において、空間的に可変の強度を有する、ステップと、
    前記酸供給源膜から拡散された酸により、可溶化された前記第2の酸不安定性膜の一部を除去するステップであって、前記可溶化された一部は、所定の除去流体から保護されない、ステップと、
    前記酸によって可溶化された前記第2の酸不安定性膜の前記一部を除去した後、前記第2の酸不安定性膜の平面性を決定するステップと、
    を有し、
    前記第2の酸不安定性膜の前記平面性が、所定の閾値より低いことを決定することに応じて、前記酸によって可溶化された前記第2の酸不安定性膜の前記一部を除去した後、前記第2の酸不安定性膜上に前記第2の酸不安定性膜の第2の堆積が実施され、前記所定の閾値は、前記構造の高度によって決定される、方法。
  2. さらに
    前記第2の酸不安定性膜の前記平面性が、前記所定の閾値を越えることを決定することに応じて、前記第2の酸不安定性膜を所定の厚さ未満にして凹部形成するステッ
    有する、請求項に記載の方法。
  3. 前記放射線のパターンは、前記基材の前記表面上の前記構造の上部における前記第1の酸不安定性膜の堆積をエミュレーションすることにより決定される、請求項1に記載の方法。
  4. 前記酸供給源膜上に前記放射線のパターンを投射するステップは、高度マップに基づき、前記放射線の前記空間的に可変な強度を変化させるステップを有し、
    前記高度マップは、前記基材にわたる前記第2の酸不安定性膜の上部表面に沿って、高度値を特徴づける、請求項1に記載の方法。
  5. 前記酸発生剤は、光酸発生剤(PAG)であり、
    前記放射線のパターンを投射するステップにより、前記放射線を受光することに応じて、前記PAGが酸を発生し、
    前記放射線のパターンの前記所定の領域に生じる前記酸の濃度は、前記高度マップに基づく、請求項に記載の方法。
  6. 前記第2の酸不安定性膜は、所定の濃度閾値を超える前記酸の存在に応じて、溶解度シフトを示す、請求項1に記載の方法。
  7. さらに、前記第1の酸不安定性膜の層パターンを下側層に転写するステップを有する、請求項に記載の方法。
  8. さらに、
    所定の時間、所定の温度で前記基材を焼成するステップを有し、
    前記焼成するステップは、前記第2の酸不安定性膜への酸の拡散を促進し、
    前記第2の酸不安定性膜への前記酸の拡散距離は、前記所定の時間及び前記所定の温度に基づく、請求項1に記載の方法。
  9. 前記放射線のパターンを投射するステップは、独立してアドレス指定可能な投射点の配列を含むピクセルベースの投射システムによって実施される、請求項1に記載の方法。
  10. 前記放射線のパターンを投射するステップは、レチクルを用いて実施され、前記放射線のパターンが形成される、請求項1に記載の方法。
  11. 堆積された前記第1の酸不安定性膜のトポロジーは、非平面であり、前記構造の前記空間的に可変な密度に基づく、請求項1に記載の方法。
  12. 膜を平面化する方法であって、
    基材の表面上に構造を提供するステップであって、前記構造は、前記表面にわたって、空間的に可変な密度を有する、ステップと、
    前記構造及び前記基材上に第1の酸不安定性膜を堆積させるステップであって、前記第1の酸不安定性膜は、前記構造の間を充填し、前記構造と接触する、ステップと、
    前記第1の酸不安定性膜の上部に、酸供給源膜を堆積させるステップであって、前記酸供給源膜は、酸発生剤を含み、所定の光の波長を有する放射線を受光することに応じて酸を発生するように構成される、ステップと、
    放射線の補正パターンを前記酸供給源膜に投射するステップであって、前記放射線の補正パターンは、前記放射線の補正パターンの所定の領域に空間的に可変な強度を有する、ステップと、
    前記酸供給源膜から拡散した酸により可溶化された前記第1の酸不安定性膜の一部を除去するステップであって、前記可溶化された一部は、所定の除去流体から保護されない、ステップと、
    前記酸により可溶化された前記第1の酸不安定性膜の前記一部を除去した後、前記第1の酸不安定性膜の平面性を決定するステップと、
    を有し、
    前記第1の酸不安定性膜の前記平面性が、所定の閾値より低いことを決定することに応じて、前記酸により可溶化された前記第1の酸不安定性膜の前記一部を除去した後、前記第1の酸不安定性膜の上部に、前記第1の酸不安定性膜の第2の堆積が実施され、前記所定の閾値は、前記構造の高度によって決定される、方法。
  13. さらに、前記放射線の補正パターンを生成し、前記構造の製造プロセス、ならびに前記構造及び前記基材の上部の前記第1の酸不安定性膜の堆積をエミュレーションすることにより、前記酸供給源膜に投射するステップを有する、請求項12に記載の方法。
  14. 前記酸供給源膜上に前記放射線の補正パターンを投射するステップは、高度マップに基づき、前記放射線の前記空間的に可変の強度を変化させるステップを有し、
    前記高度マップは、前記基材にわたって前記第1の酸不安定性膜の上部表面に沿って、高度値を特徴づける、請求項13に記載の方法。
  15. 前記酸発生剤は、光酸発生剤(PAG)であり、
    前記放射線の補正パターンを投射するステップにより、前記放射線を受光することに応じて前記PAGが酸を発生し、
    前記放射線の補正パターンの前記所定の領域に生じる前記酸の濃度は、高度マップに基づく、請求項13に記載の方法。
  16. さらに
    前記第1の酸不安定性膜の前記平面性が、前記所定の閾値を越えることを決定することに応じて、前記第1の酸不安定性膜を所定の厚さ未満にして凹部形成するステップ、
    を有する、請求項12に記載の方法。
JP2021525734A 2018-11-13 2019-11-12 有機膜の平面化法 Active JP7371308B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862760622P 2018-11-13 2018-11-13
US62/760,622 2018-11-13
PCT/US2019/060872 WO2020102164A1 (en) 2018-11-13 2019-11-12 Method for planarization of organic films

Publications (3)

Publication Number Publication Date
JP2022507256A JP2022507256A (ja) 2022-01-18
JPWO2020102164A5 JPWO2020102164A5 (ja) 2022-08-04
JP7371308B2 true JP7371308B2 (ja) 2023-10-31

Family

ID=70550777

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021525734A Active JP7371308B2 (ja) 2018-11-13 2019-11-12 有機膜の平面化法

Country Status (6)

Country Link
US (1) US11393694B2 (ja)
JP (1) JP7371308B2 (ja)
KR (1) KR20210076157A (ja)
CN (1) CN113016054B (ja)
TW (1) TWI842773B (ja)
WO (1) WO2020102164A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11656550B2 (en) 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness
EP4030465B1 (en) * 2021-01-14 2024-10-02 Imec VZW A patterning method
CN117941028A (zh) * 2021-08-25 2024-04-26 杰米纳蒂奥公司 自对准的堆建方法
KR20240047447A (ko) * 2021-08-25 2024-04-12 제미나티오, 인코포레이티드 반도체 패터닝의 보조 피처 배치
CN116741626A (zh) 2022-03-04 2023-09-12 长鑫存储技术有限公司 一种半导体结构的制备方法及半导体结构
TWI824680B (zh) * 2022-08-25 2023-12-01 美商杰米納帝歐股份有限公司 自對準堆積方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013143527A (ja) 2012-01-12 2013-07-22 Toshiba Corp パターン形成方法及びパターン形成材料
JP2016539362A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 化学的研磨平坦化の方法
JP2018516385A (ja) 2015-04-13 2018-06-21 東京エレクトロン株式会社 基板を平坦化するためのシステム及び方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60128636A (ja) * 1983-12-16 1985-07-09 Toshiba Corp 半導体装置の製造方法
US6423465B1 (en) * 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
JP2002006512A (ja) * 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
JP2003273211A (ja) * 2002-03-14 2003-09-26 Sony Corp 半導体装置の製造方法
US6905621B2 (en) 2002-10-10 2005-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing the etch transfer of sidelobes in contact hole patterns
JP4992722B2 (ja) * 2005-12-14 2012-08-08 富士通セミコンダクター株式会社 半導体装置の製造方法
TWI374478B (en) * 2007-02-13 2012-10-11 Rohm & Haas Elect Mat Electronic device manufacture
KR101439394B1 (ko) 2008-05-02 2014-09-15 삼성전자주식회사 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
US9640396B2 (en) * 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8097402B2 (en) * 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
JP5780222B2 (ja) * 2011-09-16 2015-09-16 信越化学工業株式会社 パターン形成方法
CN104821318A (zh) * 2014-01-30 2015-08-05 中芯国际集成电路制造(上海)有限公司 分离栅存储器件及其形成方法
KR102245135B1 (ko) 2014-05-20 2021-04-28 삼성전자 주식회사 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
TWI584061B (zh) * 2014-08-27 2017-05-21 羅門哈斯電子材料有限公司 多重圖案的形成方法
KR20160121059A (ko) 2015-04-09 2016-10-19 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US11003074B2 (en) 2017-05-01 2021-05-11 Rohm And Haas Electronic Materials Llc Pattern formation methods and photoresist pattern overcoat compositions

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013143527A (ja) 2012-01-12 2013-07-22 Toshiba Corp パターン形成方法及びパターン形成材料
JP2016539362A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 化学的研磨平坦化の方法
JP2018516385A (ja) 2015-04-13 2018-06-21 東京エレクトロン株式会社 基板を平坦化するためのシステム及び方法

Also Published As

Publication number Publication date
US11393694B2 (en) 2022-07-19
TWI842773B (zh) 2024-05-21
KR20210076157A (ko) 2021-06-23
CN113016054A (zh) 2021-06-22
CN113016054B (zh) 2024-02-20
JP2022507256A (ja) 2022-01-18
WO2020102164A1 (en) 2020-05-22
TW202043916A (zh) 2020-12-01
US20200152472A1 (en) 2020-05-14

Similar Documents

Publication Publication Date Title
JP7371308B2 (ja) 有機膜の平面化法
US7862982B2 (en) Chemical trim of photoresist lines by means of a tuned overcoat material
US7943289B2 (en) Inverse resist coating process
CN107660277B (zh) 用于对衬底进行平坦化的系统和方法
TWI585822B (zh) 基板上之接觸窗開口的圖案化方法
KR101848578B1 (ko) 원자층을 증착하지 않는 자가-정렬 더블 패터닝 방법
US20100173247A1 (en) Substrate planarization with imprint materials and processes
KR20160083080A (ko) 화학적 폴리싱 및 평탄화를 위한 방법
US20230274940A1 (en) Method to form narrow slot contacts
JP4927678B2 (ja) パターン形成方法
KR20220034874A (ko) 스핀-온 및 cvd 증착된 유기 막의 평탄화를 위한 방법
US20220388232A1 (en) Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system
US20230251570A1 (en) Selective Deprotection via Dye Diffusion
Shibayama et al. New Approach for ArFi Extension by Dry Development Rinse Process
KR20240148814A (ko) 염료 확산을 통한 선택적 탈보호
Xu et al. SPIE Advanced Lithography
JPH08220777A (ja) パターン形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220727

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220727

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230815

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230829

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230914

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230914

R150 Certificate of patent or registration of utility model

Ref document number: 7371308

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150