TWI842773B - 有機膜的平坦化方法 - Google Patents

有機膜的平坦化方法 Download PDF

Info

Publication number
TWI842773B
TWI842773B TW108140973A TW108140973A TWI842773B TW I842773 B TWI842773 B TW I842773B TW 108140973 A TW108140973 A TW 108140973A TW 108140973 A TW108140973 A TW 108140973A TW I842773 B TWI842773 B TW I842773B
Authority
TW
Taiwan
Prior art keywords
acid
film
unstable
radiation
pattern
Prior art date
Application number
TW108140973A
Other languages
English (en)
Other versions
TW202043916A (zh
Inventor
傑佛瑞 史密斯
安東 J 德維利耶
羅伯特 勃蘭特
約迪 格熱希科維亞克
丹尼爾 富爾福德
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202043916A publication Critical patent/TW202043916A/zh
Application granted granted Critical
Publication of TWI842773B publication Critical patent/TWI842773B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文之技術包含用於使薄膜平坦化之方法,該等薄膜包含在半導體裝置之製造中所使用的薄膜。此等製造可在基板之表面上產生結構,且該等結構在整個該表面上可具有空間上可變的密度。本文之平坦化方法包含在該等結構及該基板上方沉積第一酸不穩定性膜,該第一酸不穩定性膜填充於該等結構之間。在該第一酸不穩定性膜上方沉積第二酸不穩定性膜。在該第二酸不穩定性膜上方沉積酸源膜,該酸源膜包含一酸產生劑,該酸產生劑係配置以響應於接收具有預定波長之光的輻射而產生酸。將輻射圖案投射於該酸源膜上,該輻射圖案在該輻射圖案之預定區域處具有空間上可變的強度。

Description

有機膜的平坦化方法
[相關申請案的交互參照]本發明主張2018年11月13日提交的美國臨時專利申請案第62/760,622號的權益,在此將其全文引入以供參照。
本發明係關於半導體裝置之製造方法,特別係關於用於形貌校正之平坦化技術。
此處所提供之先前技術說明係為了大體上介紹本發明之背景。在此先前技術章節中所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
半導體加工包含微影及圖案化處理。某些微影處理包含利用底部抗反射塗層(BARC)材料之薄膜塗佈晶圓,然後利用光阻進行塗佈,接著使晶圓曝露於光之圖案,以作為用於製造微晶片的許多處理步驟之一。接著可使光阻內的潛在圖案顯影以產生一起伏圖案(形貌圖案),其係用於後續處理,例如透過蝕刻至下伏層中而進行的圖案轉移。
在一微影處理中,從焦深(DOF)的觀點而言,期望使欲在其上成像光阻系統(包括任何抗反射層、下層、及旋塗碳膜)之膜層為盡可能地平坦或平面。該膜層之平坦度的任何變異性(無論係歸因於沉積或塗佈處理所造成的薄膜不均勻性、或係歸因於下伏基板之任何形貌變化)會使最佳焦點偏位並降低圖像品質,從而導致不良的局部臨界尺寸(CD)均勻性、線邊緣粗糙度、圖像模糊或漸變,且在某些情況下,兩結構之併合會導致局部的橋接缺陷、或預定接觸洞之閉合,其可能在下游整合中造成良率缺陷。因此,需要一種在處理期間改良薄膜平坦度的解決方案。
本發明係關於一種使薄膜平坦化之方法,其包含:在基板之表面上提供結構,該等結構在整個該表面上具有空間上可變的密度;在該等結構及該基板上方沉積第一酸不穩定性膜,該第一酸不穩定性膜填充於該等結構之間;在該第一酸不穩定性膜上方沉積第二酸不穩定性膜;在該第二酸不穩定性膜上方沉積酸源膜,該酸源膜包含一酸產生劑,該酸產生劑係配置以響應於接收具有預定波長之光的輻射而產生酸;以及將輻射圖案投射於該酸源膜上,該輻射在該輻射圖案之預定區域處具有空間上可變的強度。
此外,本發明係關於一種使薄膜平坦化之方法,其包含:在基板之表面上提供結構,該等結構在整個該表面上具有空間上可變的密度;在該等結構及該基板上方沉積第一酸不穩定性膜,該第一酸不穩定性膜填充於該等結構之間;在該第一酸不穩定性膜上方沉積酸源膜,該酸源膜包含一酸產生劑,該酸產生劑係配置以響應於接收具有預定波長之光的輻射而產生酸;藉由模擬該等結構之製造處理及在該等結構及該基板上方的該第一酸不穩定性膜之沉積,以產生該輻射校正圖案而投射於該酸源膜上;以及將輻射校正圖案投射於該酸源膜上,該輻射校正圖案在該輻射校正圖案之預定區域處具有空間上可變的強度。
此外,本發明係關於一種使薄膜平坦化之方法,其包含:在基板之表面上提供結構,該等結構在整個該表面上具有空間上可變的密度;在該等結構及該基板上方沉積第一酸不穩定性膜,該第一酸不穩定性膜填充於該等結構之間,該第一酸不穩定性膜包含內嵌的酸產生劑,該酸產生劑係配置以響應於接收具有預定波長之光的輻射而產生酸,該第一酸不穩定性膜可溶於酸;以及將輻射圖案投射於該第一酸不穩定性膜上,該輻射在該輻射圖案之預定區域處具有空間上可變的強度。
應注意,此發明內容之章節並未指明本發明或申請專利範圍之所有實施例及/或漸增之新穎態樣。而應為,此發明內容僅提供不同實施例及新穎性對應點的初步討論。關於本發明及實施例之額外的細節及/或可能的觀點,讀者將被導向如下進一步討論之本發明的實施方式之章節及對應之圖式。
以下揭示內容提供用以施行本文標的之不同特徵的許多不同的實施例或範例。以下說明元件與配置的特定實例以簡化本發明。當然,其僅為範例且意不在限制本發明。例如,在說明中在第二特徵部上方或上形成第一特徵部可能包含第一與第二特徵部以直接接觸方式形成的實施例及亦可包含在第一與第二特徵部之間形成有額外特徵部以致於第一與第二特徵部不直接接觸的實施例。此外,本發明在各種實例中可重覆參考標號及/或字母。此重覆係基於簡化與清晰的目的,其本身並不代表各種實施例及/或配置討論之間的關係。再者,在文中可為了說明便利性而使用空間相關的詞如「頂部」、「底部」、「在…之下」、「在…下方」、「較低」、「在…上方」、「較高」等說明圖中所示之一元件或特徵部與另一元件或特徵部之間的關係。空間相關的詞彙意在包含除了圖中所示的位向外,裝置於使用中或操作時的不同位向。設備可具有其他位向(旋轉90度或其他位向),因此文中所用的空間相關詞彙可以類似方式解釋。
本文所述之不同步驟的討論順序已為了清楚解釋而呈現。一般而言,可以任何適當順序執行這些步驟。此外,雖然本文之每個不同特徵、技術、構造等可於本揭露內容的不同地方討論,擬使每個概念可各自單獨或互相組合而執行。因此,可以許多不同方式實施及分析本發明。
可利用各種方法來執行微影術之平坦化。例如,可在基板上之現有形貌上方沉積薄膜(例如矽氧化物),接著可對薄膜進行拋光以產生平面或平坦的薄膜。在另一範例中,可旋轉塗佈有機膜(例如旋塗碳)以產生比底下的形貌更為平坦的表面。並且,循序的薄膜沉積、化學機械研磨(CMP)、複數薄膜之沉積或旋轉塗佈之組合可用於產生最終的平坦表面。
然而,此等技術可能有其限制。例如,雖然旋塗塗層相較於底下形貌而提供改良的平坦度,但此等塗層在奈米尺度上可能具有明顯的高度差異。其平坦度可能不足以避免誤差(在計量、後續處理等方面),尤其係在印製節距小於例如80奈米之特徵部時。CMP亦可能有其限制。CMP可使用腐蝕性化學品及物理研磨,其與許多微加工處理可能係不相容的。例如,CMP無法用於許多前端製程結構上,尤其係在閘極氧化物被暴露時。此外,CMP處理可能在運行上非常昂貴、在執行上具挑戰性、且通常使得功能積體電路的良率減低。
本文之技術提供有效、經濟、且與各種半導體裝置製造步驟相容的平坦化方法。技術包含旋轉塗佈或氣相沉積的薄膜之選定區域之深度受限的溶解度變化(由上而下),俾使顯影之後所得之薄膜為平坦的。可在旋轉塗佈或氣相沉積的薄膜上沉積含酸膜,以提供一媒介以改變可於空間上活化的溶解度。技術包含模擬複數微加工處理步驟以產生預測的z-高度圖,其可接著用於產生曝光校正圖案,而無需在沉積平坦化膜之前量測底下的形貌。因此,可利用塗佈機-顯影(track)工具以快速且準確地使薄膜平坦化,而無需使用專門的量測工具及拋光工具。
隨著多重圖案化方法的發端,已使得膜層或處理模組的數量增加,其中存在影響後續微影膜層的下伏形貌。在一範例中,對於金屬界定而言,一種方法包含:透過自對準雙重圖案化(SADP)及/或自對準四重圖案化(SAQP)以形成線及空間網格圖案;以及利用微影處理以界定切口或塊體並使其記憶於金屬圖案中,該金屬圖案被記憶於硬遮罩膜中。藉由雙重鑲嵌處理,一旦金屬圖案被記憶於單一或複數硬遮罩膜中,即可直接在包含主要形貌之此圖案化記憶膜上方執行相應的介層窗圖案化。
依據本發明之實施例,圖1顯示自對準雙重圖案化(SADP)處理之簡化橫剖面示意圖。簡要的程序流程描述如下。首先,可在基板160之表面上形成心軸165。例如,心軸165之圖案可利用遮罩加以界定並透過蝕刻而形成。隨後,可在基板160及心軸165上沉積間隔件層170。可對間隔件層170進行蝕刻以使間隔件層170凹入至低於心軸165的高度,且其中與心軸165相鄰且在基板160之表面上的間隔件層170材料被完全移除。接著可將心軸165移除以留下表面上殘留的間隔件175(類似柱體,如圖所示)。若期望產生SAQP處理,則可再次重複進行在間隔件175上之間隔件層170沉積及間隔件層170蝕刻,其中對於重複的步驟而言,間隔件層170之材料可為不同的材料。
在某些情況下,可透過併入使用預定類型的旋塗薄膜以進行平坦化控制,其與經由非旋塗沉積方法所獲得之較近似保形的沉積相比而具有特定的邊緣自平坦化能力。該等平坦薄膜可使該等製程模組中所見之給定形貌上的薄膜厚度之總變異減少。然而,變異減少對於後續處理而言可能係不足的。具體來說,就製程緯度控制(尤其係景深(DOF))而言,所得之減少結果通常不足以維持足夠平坦的表面俾支持先進微影術。平面性亦可能不足以維持其他類型的非微影圖案化處理,例如向下蝕刻凹入薄膜以顯露(揭露)底下的圖案。
可用於此應用之薄膜為例如含有旋塗碳之薄膜。該等薄膜可提供許多益處。例如,該薄膜可用作後續微影處理的初始平坦化膜。例如,該薄膜之材料可具有經調諧之n & k光學參數,其致使以減少不樂見之反射率的方式吸收來自曝光處理的光。例如,該薄膜可為可獲得比習知光阻更高之深寬比的一轉移層,其中光阻圖案被轉移通過含矽膜至旋塗碳膜中,在此期間光阻圖案可能在轉移至旋塗碳膜之蝕刻轉移處理中被消耗。對於典型的ArF曝光而言,此等市售旋塗碳膜的厚度可能在廣泛範圍內變化,但從反射的觀點而言,其通常可為700A的最小厚度,以抑制任何不樂見的反射。
由於透過納入浸潤式微影及極紫外光(EUV)微影而使微影圖案化解析度減小,因此有更大的驅力以盡可能地控制驅使散焦的任何因素。因此,對旋塗碳膜之更嚴密的平坦化控制之需求越來越高。
此外,隨著多重圖案化處理(例如反間隔件圖案化界定、色調反轉(tone reversal)處理、金屬層之自對準塊體生成、及自對準介層窗與接觸窗)的發端,在某些應用中,薄膜(例如旋塗碳)可在上述預期用途之外用作一平面光吸收膜,其用作微影處理的一部份。在該等圖案化應用中,加入旋塗碳作為間隙填充膜,其期望在與沒有或少量圖案密度的區域相等的情況下均等地填充給定圖案密度區域。
將描述用以圖案化一系列非常小的渠溝之反間隔件圖案化或色調反轉(tone-inversion)方法的範例,其中透過一間隔件處理以圖案化具有原子級臨界尺寸精度的狹窄渠溝,在該間隔件處理中,將一材料間隙填充於間隔件圖案中,向下凹入以顯露間隔件,並接著將間隔件挖除,因此產生一狹窄渠溝圖案,接著可將該狹窄渠溝圖案轉移至底下的硬遮罩或記憶膜。在該等情況下需要良好的平坦化控制,因為主要的凹入蝕刻本質上係盲處理的,而沒有任何蝕刻停止材料以控制該蝕刻處理。
依據本發明之實施例,圖2A顯示一反間隔件(anti-spacer)處理。在一實施例中,依據本發明之實施例,反間隔件處理產生經圖案化之間隔件並接著將其挖除,俾產生一系列狹窄渠溝,否則其難以透過單一曝光微影處理來製造。如前所述,「反間隔件(anti-spacer)」或「負型間隔件(negative-tone spacer)」處理包含圖案化一心軸圖案並接著在該心軸圖案上沉積保形薄膜,接著進行保形薄膜的間隔件開口蝕刻以使心軸的頂部開口,並接著透過一蝕刻處理將心軸移除。剩餘圖案(圖2A)包含複數線間隔件205(此處稱為「線間隔件205」),其可具有與設置於下方之硬遮罩210的蝕刻對比。
依據本發明之實施例,圖2B顯示塗佈有平坦化膜215的線間隔件205。在一實施例中,可接著對間隔件圖案進行旋轉塗佈或以化學氣相沉積(CVD)沉積平坦化膜215,其覆蓋所有的線間隔件205。旋塗的及CVD沉積的平坦化膜215可具有由底下形貌之圖案密度所決定的明顯高度變化,例如線間隔件205的密度可決定平坦化膜215的高度變化。從圖2B中的平坦化膜215可看出,下方之較高密度的線間隔件205可在平坦化膜215中產生更一致的高度變化(亦即,其較為平坦),但隨著線間隔件205之密度減小(向右),高度變化可從平坦化膜215之表面上的「波紋」看出。當線間隔件205之密度逼近零(意指在一區域中不再有任何的線間隔件205)時,平坦化膜215的高度與在較高密度的線間隔件205區域上之平坦化膜215高度相比而會呈現出階梯狀的降低。
依據本發明之實施例,圖2C顯示具有橫剖面數據的掃描穿透式電子顥微鏡(STEM)圖。根據該資料,可基於預期的圖案形貌而產生更複雜的晶圓圖。圖2C顯示並列擺放的兩個單獨的放大橫剖面圖,其顯示在稠密形貌(左圖)與無形貌(右圖)之區域上的旋塗有機膜之塗層。在左圖中,該形貌包含65-70 nm高的SiN線間隔件205,且覆蓋稠密線間隔件205的平坦化膜215為130 nm厚且係透過習知旋塗處理加以塗佈。在此情況下,稠密(左)與無圖案(右)區域之間的平坦化膜215之高度差為大約50 nm。
從微影製程窗的觀點而言,具有密集分布之結構的區域與未經圖案化(無結構)的區域之間的高度差異係重要的,因為大部分的關鍵層曝光通常具有例如小於100 nm的總DOF,且50 nm的薄膜高度差異(對於圖2C之範例而言)自動消耗了此DOF預算(其亦係經由多個其他參數所界定)的一半。
依據本發明之實施例,圖2D顯示在蝕刻凹入處理之後的平坦化膜215。在一實施例中,平坦化膜215被向下蝕刻凹入至低於線間隔件205之頂部。一些過蝕刻(over-etch)裕度確保所有的線間隔件205被「打開」,如圖2D中所示。在線間隔件205皆被打開之後,透過線間隔件205蝕刻處理將其從基板選擇性地移除,以將線間隔件205的線圖案轉變成現在在剩餘平坦化膜215內的複數渠溝圖案205a(在此稱為「渠溝圖案205a」)。圖2B及2C中所示之平坦化膜215中的階梯高度產生在蝕刻凹入處理之後無剩餘平坦化膜215的區域(靠右)。
依據本發明之實施例,圖2E顯示具有渠溝圖案205a的平坦化膜215。在一實施例中,將超低介電常數(ULK)膜220設置於硬遮罩210下方。依據本發明之實施例,圖2F顯示轉移至硬遮罩210中的渠溝圖案205a。在硬遮罩210之第一轉移蝕刻處理期間,可在第二轉移蝕刻處理將渠溝圖案205a轉移至ULK膜220中之前將平坦化膜215內的渠溝圖案205a轉移至硬遮罩210中。
依據本發明之實施例,圖2G顯示轉移至ULK膜220中的渠溝圖案205a。一旦渠溝被轉移至硬遮罩210中,即可於此形貌階層下在此步驟進行後續處理(例如塊體形成及自對準介層窗圖案化)。平坦化膜215之目的不僅為了在轉移至硬遮罩210之前包含渠溝圖案205a,亦為了保護硬遮罩210免於在無渠溝圖案205a之區域中受蝕刻。應注意,在圖2E-2G中,已透過蝕刻凹入處理將平坦化膜215從右側完全移除,因此在第一轉移蝕刻處理期間,與在預定位置處具有覆蓋硬遮罩210之剩餘平坦化膜215的左側相比,未受保護的硬遮罩210右側被蝕刻得更多。在第二轉移蝕刻處理期間,可再次對已凹陷的右側進行蝕刻,從而使右側進一步向下凹陷。
依據本發明之實施例,圖2H顯示被蝕刻至ULK膜220中的渠溝圖案205a之預定最終圖案。在此範例中,渠溝首先被記憶於TiN硬遮罩210中,然後渠溝被轉移至底下的ULK膜220中。應注意,在右側,沒有渠溝圖案205a記憶於硬遮罩210中的區域餘留作為開放硬遮罩210場域,且沒有硬遮罩210被移除,因此未在此開放硬遮罩210場域中圖案化非預期之渠溝圖案205a並接著進入ULK膜220中,其造成在整個表面上較為均勻的高度。
本文之技術能夠使透過旋塗、氣相沉積、或其他方法所沉積的有機材料平坦化。技術包含模擬/模型化薄膜沉積以獲得校正圖,以及利用空間選擇性酸擴散以使非平坦表面平坦化。
在此可使用各種方法步驟。在一實施例中,可在有機層上沉積含有去交聯劑(例如可顯影性底部抗反射塗層(dBARC)或光阻膜)的旋塗式酸不穩定性膜。可透過旋轉塗佈或化學沉積或不會損害下伏有機膜的其他沉積方法以進行沉積。應注意,可在酸不穩定性膜上塗佈包含光酸產生劑(PAG)或熱酸產生劑(TAG)與透明或近透明聚合物的含酸膜。較佳地,使用不會損害下伏有機膜的溶劑系統,藉由常規的微影顯影劑化學品以輕易地移除含酸膜。
含酸膜係配置以產生預定量的酸,其係隨著所使用/接收的曝光能量而變化。由曝光能量所產生的酸可向下擴散至下方的酸不穩定性膜,並引起dBARC膜內的去交聯反應、或對於光阻膜之情況而言引起光解離反應。因此,曝光能量直接且局部地改變在曝光、熱擴散、及化學顯影之後的酸不穩定性膜之厚度。
預定的光酸產生劑(PAG)對預定波長或預定範圍之波長具有敏感度,因此可使用各種曝光源。曝光源可為橫跨例如170 nm至400 nm之範圍的波長的微影步進機/掃描機。曝光源亦可為投射圖像或使用掃描雷射的直寫(direct write)系統,且使用相似的波長範圍。與含PAG膜一起使用的聚合物對於預定波長可為透明或近透明的。應注意,亦可使用實體微影曝光步進機或掃描機。在另一範例中,可使用同等簡易的掃描雷射系統,其可在整個晶圓表面上空間地改變曝光能量。
在一實施例中,可利用模擬厚度變化以進行校正處理。基於光罩檔案或其他初始變數輸入,可模擬形貌堆疊體上之旋塗的、CVD沉積的、或PVD(物理氣相沉積)沉積的薄膜之厚度變化(相對或絕對)。例如,該模擬可基於初始圖形資料庫系統(GDS)或開放原圖系統交換標準(OASIS)光罩檔案。例如,可從光罩檔案中擷取心軸圖案,並在期望的SADP或SAQP處理中透過複數沉積及蝕刻處理進行模擬。該模擬可提供最終模擬形貌檔案,透過該最終模擬形貌檔案以基於(初始)光罩檔案而預測在具有預定形貌的整個晶圓上之沉積膜的變化。因此,可將整合流程中的一步驟中的初始光罩檔案轉換為處理流程中的後續或更後續之步驟中的預期形貌,並且透過此模擬而可將所預測的局部薄膜高度差異模型化。
在一實施例中,藉由最終模擬形貌檔案及經模型化之整個晶圓上的沉積膜變化,可產生校正曝光光罩檔案,以局部地產生(藉由座標位置)酸,從而向下擴散至酸不穩定性膜並引起去交聯或去保護反應(基於酸不穩定性膜的組成)。結果使得酸不穩定性膜之絕對膜厚度局部減小。
在一實施例中,酸不穩定性膜的組成可與其上沉積有酸不穩定性膜的有機膜相似。亦即,從蝕刻率及蝕刻選擇性的觀點而言,在酸不穩定性膜與下伏有機膜之蝕刻之間觀察到最小的差異。或者,可使用具有不同蝕刻選擇性的薄膜。
在一實施例中,可執行蝕刻凹入處理以使「整平的」(經平坦化的)酸不穩定性(外塗層)膜及下伏有機膜凹陷。可執行此凹入蝕刻處理以獲得最終的平坦有機膜、或到達可從底下形貌偵測到終點的點、或者在循環蝕刻處理中向下蝕刻至已知薄膜有足夠最終平坦度的特定點。
在一實施例中,可執行額外的有機膜再塗佈,以產生更為平坦的表面形貌。在起始點具有改良的平坦度或足夠的平坦度之情況下,再塗佈引致較為平坦的薄膜。亦可使用第二外塗層以達到期望的絕對膜高度目標。此對於在後續微影處理中使用有機膜作為抗反射膜堆疊體的一部份時係有益的。再塗佈亦可獲得整體絕對膜平坦度的進一步改善,因為第二外塗層被沉積在相對平坦(與初始形貌相比)的表面上。
可理解,本文之方法可與多種不同微加工處理一起使用。以下伴隨附圖而進一步闡明前述的平坦化方法。
依據本發明之實施例,圖3A顯示一初始形貌圖案。在一實施例中,本文技術的一個應用為使有機膜平坦化以用於後續微影處理,俾使曝光處理中的任何DOF變異最小化。可在基板301上提供各種形貌之任一者。可在硬遮罩310(例如TiN膜,其可被沉積在ULK膜320上方)上方圖案化變節距的複數線間隔件305(在此稱為「線間隔件305」)。例如,此堆疊體可相似於用於後段製程(BEOL)邏輯應用的該等堆疊體。
依據本發明之實施例,圖3B顯示經塗佈之形貌圖案。在一實施例中,可在線間隔件305及硬遮罩310上方塗佈平坦化膜315。平坦化膜315可為CVD沉積的非晶碳膜或旋塗式有機膜,其係經化學調節以提供對後續曝光處理中所用之預定波長的光之吸收。應注意,平坦化膜315可具有非平坦形貌(相似於圖2A至2G的處理),其可如本文所述地加以校正。非平坦形貌可包含例如平坦化膜315之高度的階梯狀減小,其係從較高密度的線間隔件305(左)進展至較低或零密度的線間隔件305(右)。平坦化膜315能對酸具敏感性,其中酸可使平坦化膜315與酸相接觸的部份溶解或去交聯。
依據本發明之實施例,圖3C顯示塗佈有酸不穩定性膜325的平坦化膜315。在一實施例中,可將酸不穩定性膜325沉積或旋塗於平坦化膜315的非平坦表面上。此酸不穩定性膜325可為交聯的,使得其不溶於在光阻或其他輔助微影材料(例如旋塗式硬遮罩或旋塗式矽抗反射塗層(SiARC)膜)中所使用之溶劑。酸不穩定性膜325可為酸不穩定性的,其中暴露於酸可觸發酸不穩定性膜325內的去交聯反應。當暴露於預定的顯影化學品(例如氫氧化四甲基銨(TMAH))時,此去交聯反應可使暴露於酸的區域中之酸不穩定性膜325的厚度減小。並且,酸不穩定性膜325可具有與平坦化膜315相似的化學組成。例如,酸不穩定性膜325可具有與下方的平坦化膜315相似的Onishi參數,其提供相似的蝕刻率及選擇性。
在一實施例中,酸不穩定性膜325可為dBARC。透過在酸不穩定性膜325內含入光酸產生劑(PAG),dBARC可產生酸,在該過程中暴露於預定波長的光將PAG轉化為自由陰離子,其導致去交聯反應。
依據本發明之實施例,圖3D顯示塗佈有酸源膜330的酸不穩定性膜325。在一實施例中,可選擇特定的dBARC,其不包含設計於配方中的PAG。取而代之地,該材料可包含酸敏感性的去交聯劑。可透過沉積在酸不穩定性膜325上的酸源膜330以提供酸不穩定性膜325的酸源。酸源膜330可包含PAG,其可用作局部酸源以選擇性地使底下的酸不穩定性膜325之局部區域去交聯。
酸源膜330的化學組成對於與PAG之敏感性結合使用的光可為光學透明的。光敏響應可包含在PAG中解離陰離子及陽離子,從而產生自由酸,其可接著與塗布於平坦化膜315上的酸不穩定性膜325中之去交聯劑進行反應。酸源膜330可包含對預定波長呈透明的樹脂或聚合物,PAG可在其中混和並均勻分佈,以確保可在酸不穩定性膜325上方沉積厚度一致的一致薄膜。在從PAG解離陰離子及陽離子之後,可基於酸不穩定性膜325的選定特性而控制酸擴散通過酸不穩定性膜325。
酸源膜330可包含不會損害底下的酸不穩定性膜325的溶劑系統,且酸源膜330本身可為非常易溶於在微影術中所用之水性或常用的顯影劑化學品(例如TMAH)中。用於半導體裝置的預定範圍之波長可為例如170 nm至400 nm,但亦可使用在此範圍之外的波長。在一特定範例中,酸源膜330可在由甲基異丁基甲醇與丙二醇甲基醚醋酸酯所組成的溶劑共系統中包含基於氟化醇的甲基丙烯酸酯聚合物。依據本發明之實施例,例示性樹脂系統係顯示於圖5A中,且在193 nm之曝光波長下為透明的。
PAG之選擇可由欲用於產生局部酸的預定曝光波長所決定。應注意,較高曝光能量/較低預定波長的曝光可具有改良的解析度,並因此對局部光酸產生有較嚴密的控制,但晶圓/操作成本較高。此外,可基於通過酸不穩定性膜325之期望的垂直擴散(相對於橫向擴散)而決定給定的陰離子類型,俾使因曝光處理而導致的化學誘導模糊量最小化。將PAG陰離子限制為基於三氟甲磺酸酯可為有益的,因為PAG陰離子中的較低氟含量可促成更為垂直的擴散輪廓。相反地,在陰離子中使用較高的氟含量(例如全氟丁磺酸鹽及全氟辛烷磺酸鹽(PFOS))可促成更為橫向的擴散輪廓。依據本發明之實施例,此等基於三氟甲磺酸酯之PAG的酸產生機制係顯示於圖5B中。
依據本發明之實施例,圖3E顯示透過PAG之光活化對酸不穩定性膜325進行的校正。酸不穩定性膜325及酸源膜330可接著被送入曝光處理,在該曝光處理中,可藉由可控制在晶圓上各個點之局部傳輸量的光罩或直寫系統以局部地產生預定量的酸。預定量的酸可對底下的酸不穩定性膜325造成直接影響,使得酸不穩定性膜325中的經去交聯之聚合物的量可與上方酸源膜330中所產生之酸的量成正比。在施以反應處理(例如反應熱處理)之後,酸不穩定性膜325中的經去交聯之聚合物即變得可溶於微影術中所用的顯影劑化學品(例如TMAH)中。在此顯影步驟期間,亦可將酸源膜330移除,從而為酸不穩定性膜325之顯影提供通暢途徑。藉此方式,可藉由顯影後之酸不穩定性膜325的倒轉而抵消平坦化膜315中的任何非平坦性,如圖3E中所示。
當曝光因基板301上之座標位置而改變曝光劑量時,在整個圖案密度上以不同濃度產生酸。例如,可使用針對預期圖案密度輪廓而特定調諧的漸變光罩。在具有較高下伏圖案密度及相應較高薄膜高度的區域中,可產生相對較多的酸。同樣地,在具有較低或無下伏圖案密度及相應較低薄膜高度的區域中,可產生相對較少的酸。在圖3E的右側,應注意,在漸變曝光、烘烤、及TMAH顯影之後的剩餘酸不穩定性膜之顯影後輪廓顯示出初始平坦化膜315的整體輪廓,且剩餘的酸不穩定性膜325在整個表面上產生相對較為平坦的形貌。雖然可能產生與光罩處理中所用之曝光能量的差異相關之不均勻邊緣,但若需要,可進一步將不均勻邊緣整平,如本文所述。
依據本發明之實施例,圖3F顯示經蝕刻凹陷的平坦化膜315。在一實施例中,可接著將經顯影的酸不穩定性膜325(其具有與下方平坦化膜315相似的Onishi參數、且具有比下方平坦化膜315更佳的平坦化範圍)蝕刻凹陷,其終點為線間隔件305之頂部。在蝕刻率匹配之情況下,當到達底下的線間隔件305之頂部時,可停止蝕刻凹入處理,其中整個圖案化線間隔件305區域與沒有線間隔件305之區域具有足夠的覆蓋率。
在另一實施例中,可能不需要改變底下的形貌或結構。在此實施例中,一旦平坦化膜315的凹部到達線間隔件305之頂部,即可蝕刻或移除所有的酸不穩定性膜325。此使得能夠在經蝕刻凹陷之平坦化膜315上方再沉積平坦化膜315。依據本發明之實施例,如圖3G中所示,由於與起始的形貌相比,經蝕刻凹陷之平坦化膜315已具有經改善的平坦化狀況,因此平坦化膜315之進一步塗佈可產生更加平坦的薄膜。取決於所選擇之材料,可將例如100 nm平坦化膜之將近50 nm的初始非平坦度減低至10 nm以下(80%改善)。其他材料及曝光參數(例如,曝光的解析度)可在平坦度方面獲得甚至更大的改善。
依據本發明之實施例,圖3H顯示使平坦化膜315凹陷以顯露線間隔件305。在一實施例中,接著可透過蝕刻或全面曝光凹入處理而再次使平坦化膜315凹陷,以使線間隔件305之頂部顯露,隨後會在該程序中將該等線間隔件305挖除。應注意,此等凹部可具有一些過蝕刻(over-etch)裕度以確保所有預期的線間隔件305被打開並且可被挖除,否則該處理會留下殘留的間隔件並妨礙色調反轉(tone-inversed)渠溝之形成。
依據本發明之實施例,圖3I顯示具有渠溝圖案305a的平坦化膜315。一旦使線間隔件305顯露,即可透過一蝕刻處理將線間隔件305挖除,以使線間隔件305之圖案反轉為複數渠溝圖案305a(在此稱為「渠溝圖案305a」),其係包含於剩餘的平坦化膜315內。
依據本發明之實施例,圖3J顯示轉移至硬遮罩310中的渠溝圖案305a。依據本發明之實施例,圖3K顯示轉移至ULK膜320中的渠溝圖案305a。在硬遮罩310之第一轉移蝕刻處理期間,可在第二轉移蝕刻處理將渠溝圖案305a轉移至ULK膜320中之前將平坦化膜315內的渠溝圖案305a轉移至硬遮罩310中。此技術非常有益,因為透過確保足夠厚度的平坦化膜315或酸不穩定性膜325位在無初始形貌/結構之區域的上方而在渠溝圖案305a轉移蝕刻期間保護非圖案化區域中之硬遮罩310。因此,在不需要渠溝的硬遮罩310及ULK膜320之區域中不會圖案化非所欲之渠溝。
本文之技術包含一光罩處理,用以產生校正圖像俾在基板上曝光。此等光罩產生可包含模擬技術。與使用計量工具以量測和測繪形貌相比,使用模擬技術可改善處理時間。
依據本發明之實施例,圖4A顯示欲在曝光處理中使用的心軸之簡化片段或部分。在一實施例中,初始光罩檔案(例如GDS或OASIS光罩檔案)可用以定量地對映初始微影處理,其間接地界定形貌。
依據本發明之實施例,圖4B顯示基於心軸片段的SADP結構之模擬。模擬軟體(例如Synopsys Process Explorer或Coventor SEMulator3D)可接著用於模擬在間隔件開口/心軸拉除蝕刻之後的間隔件圖案之樣貌,並用於將結果合併回光罩檔案。例如,可將此模擬轉換回GDS或OASIS檔案。
接著,可進一步模擬意圖切割任一圖案化間隔件(例如線間隔件305)的任何微影步驟,俾產生最終形貌圖。依據本發明之實施例,圖4C顯示在模塊級處理中之額外遮罩的模擬。模塊級處理可包含例如間隔件切口或塊體。根據最終形貌圖,模擬軟體可用以計算在所提供之模擬形貌上方的平坦化膜315的高度。
接著,可基於經與該等薄膜及處理之矽資料充分相關聯的形貌、材料、及沉積處理,利用模擬軟體(例如Synopsys Process Explorer或Coventor SEMulator3D)以預測平坦化膜315的厚度變化。
依據本發明之實施例,圖4D顯示酸不穩定性膜325之例示性最終曝光遮罩。可接著在光罩檔案中建立共軛光罩,其中可將設定的曝光梯度或曝光能量提供至圖案的特定部分,俾產生盡可能平坦的平坦化膜315與酸不穩定性膜325之整體薄膜高度。此共軛光罩可代表在製造處理流程中的一給定點時的基板301特徵。此基板301特徵可接著用以建立校正光罩或圖像,俾藉由基板301上的座標位置而在基板上曝光以進行針對性的酸產生。例如,圖4D的左側可接收相對較多的曝光輻射,而中間區段接收相對中等的曝光輻射,而右側接收少量或沒有接收曝光輻射。
依據本發明之實施例,圖3L顯示用於減小薄膜厚度的例示性控制範圍。例如,在該等區域之各者中欲輸送之能量可透過矽驗證而決定,且可取決於用於酸不穩定性膜325及酸源膜330的配方,如圖3L所示。酸源膜330可加載有PAG水平,其與用於透過酸擴散而減少酸不穩定性膜325的適當控制範圍一致。對於一給定PAG之該作業的此等範例係顯示於圖3L中。在193 nm之波長及酸源膜330中之初始PAG加載下,顯示隨曝光能量而變化的用於減小酸不穩定性膜325之厚度的線性控制範圍。以下可為有益的:確保控制範圍在所考量的厚度變化範圍內為線性且曝光能量在預定最佳化範圍內。
模擬功能對於平坦化控制方法係有利的,因為僅可存取實際光罩檔案以基於此而獲得形貌估計。透過結合使用模擬軟體,可在多重處理圖案化模組中使經遮蔽之晶圓經歷多重處理步驟,以在沉積平坦化膜315之前即可獲得將位於晶圓上之實際最終圖案。因此,在單獨的曝光處理中所模型化的任何校正可基於模擬的形貌,該模擬的形貌本身可被轉換為光罩檔案,以易於轉換曝光圖案應有的樣貌,俾利用曝光能量使酸不穩定性膜325平坦化。
在一實施例中,在底下形貌中加入「假」或「輔助」結構可限制底下圖案的極端過渡區域。在此情況下,該等輔助結構可為簡單的間隔件,其並非清除區域中之有效圖案之一部份、或者其可透過單獨的曝光處理而形成,在該曝光處理中,可將開放圖案區域中的假結構或輔助結構轉移至底下的有機層,其中剩餘的輔助圖案或假圖案具有與欲使用之平坦化膜相似的組成。因此可在該程序中將藉此方式使用的假結構結合至平坦化膜中。
在本文的另一實施例中,取代留下平坦薄膜以用於微影,此處之平坦化係使用於反間隔件或負型間隔件處理以產生一系列的狹窄渠溝,而該等狹窄渠溝無法透過單一曝光微影處理而圖案化。
同樣地,起始點可為典型BEOL邏輯膜組中的線間隔件305被圖案化於硬遮罩310(其位在ULK膜320上方)上方之處。應注意,在此實施例中,可在該形貌上方直接沉積酸不穩定性膜325,以在底下的線間隔件305從半稠密圖案過渡至完全無圖案填充(左至右)之介面周圍產生絕對膜厚度的常見階梯高度變化。因此,以下描述與前述實施例之描述及圖3A至3F相似,但可略過平坦化膜315之沉積。
可在酸不穩定性膜325上方塗佈酸源膜330,或者在使用光敏薄膜(例如i-line光阻膜)代替酸不穩定性膜325之情況下,上方不需要酸源膜330。對於此處之範例而言,酸不穩定性膜325不具有整合的PAG系統,且係取決於欲由上覆的酸源膜330所供應的酸。在局部曝光、酸之活化、去交聯、及顯影之後,可將酸不穩定性膜325顯著地平坦化。可透過例如蝕刻凹入處理、或進一步的曝光及酸擴散而使酸不穩定性膜325進一步凹陷。
可透過增加曝光能量而使由酸擴散引起的凹陷增加,以向下降低至形貌的頂部,或者可採用額外的蝕刻凹入處理以到達期望的凹陷程度。在完成凹入處理之後,可在酸不穩定性膜325上方再塗佈更多的酸不穩定性膜325,俾進一步改善酸不穩定性膜325的絕對平坦化。在該程序中加入此步驟的益處為:將在形貌的任何圖案化填充區域至無形貌之清除區域之間的過渡所得之輪廓中的任何「凹陷」反轉,因此硬遮罩310在反間隔件或負型間隔件處理中的後續間隔件拉除操作中始終受到保護。
可執行酸不穩定性膜325之蝕刻凹入處理以使線間隔件305顯露。在一實施例中,接著可透過蝕刻或全面曝光凹入處理而再次使酸不穩定性膜325凹陷,以使線間隔件305的頂部顯露,隨後會在該程序中將該等線間隔件305挖除。應注意,此等凹部可具有一些過蝕刻(over-etch)裕度以確保所有預期的線間隔件305被打開並且可被挖除,否則該處理會留下殘留的間隔件並妨礙色調反轉(tone-inversed)渠溝之形成。
一旦使線間隔件305顯露,即可透過一蝕刻處理將線間隔件305挖除,以使線間隔件305之圖案反轉為複數渠溝圖案305a,其係包含於剩餘的酸不穩定性膜325內。
在硬遮罩310之第一轉移蝕刻處理期間,可在第二轉移蝕刻處理將渠溝圖案305a轉移至ULK膜320中之前將酸不穩定性膜325內的渠溝圖案305a轉移至硬遮罩310中。此技術非常有益,因為透過確保足夠厚度的酸不穩定性膜325位在無初始形貌/結構之區域的上方而在渠溝圖案305a轉移蝕刻期間保護非圖案化區域中之硬遮罩310。因此,在不需要渠溝的硬遮罩310及ULK膜320之區域中不會圖案化非所欲之渠溝。
另一實施例包含使基板平坦化的方法。對光罩布局資料進行存取,其中該光罩布局資料界定欲曝光於基板上的初始微影圖案,俾獲得第一形貌圖案。例如,對OASIS或GDS II檔案進行存取。在第一形貌圖案之第一模型上模擬第一微加工處理,從而獲得第二形貌圖案之第二模型。與第一形貌圖案之結構相比,第二形貌圖案包含具有減小的節距之結構。換言之,光罩圖案係用於將在顯影潛伏圖案之後或轉移形貌光阻圖案至下伏層中之後的結構模型化。
在第二形貌圖案之第二模型上模擬第二微加工處理,從而獲得第三模型,其具有沉積於第二形貌圖案上的外塗層。外塗層之第三模型包含預測整個基板上之外塗層的z-高度值。換言之,在將結構之初始圖案模型化之後,對沉積於其上的一或更多外塗層進行模型化,俾能夠基於基板上的位置而識別模型化之薄膜的相對或絕對高度。
校正光罩布局資料係基於預測的外塗層之z-高度值而產生。例如,此校正資料可為OASIS或GDS II檔案的形式。因此,取代量測基板以產生整個基板上之高度差的圖,預測之z-高度值的圖係透過將薄膜沉積模型化而產生,並可接著產生校正資料。例如,校正資料可識別在各個座標位置處應移除多少薄膜以使得薄膜高度大致上平坦、或者與最初沉積的薄膜相比而具有較小的z-高度差。
依據第一微加工處理及第二微加工處理而對基板進行處理,從而使得外塗層沉積在第二形貌圖案上。換言之,執行諸如產生遮罩圖案、藉由蝕刻而轉移圖案、沉積薄膜等的物理處理步驟。第一微加工處理及第二微加工處理之各者可包含複數處理步驟或處理。
將曝光輻射圖案投射在基板上。曝光輻射圖案為基於校正光罩布局資料的z-高度修改圖案。例如,z-高度修改圖案可指示曝光輻射在整個基板上之給定點位置處曝光的量,以透過酸產生及擴散而產生外塗層的可溶頂部,從而影響該等給定點位置處的期望高度減小。接著,可利用顯影劑將該等可溶頂部移除。外塗層本身可含有酸產生劑化合物,或者可在外塗層上沉積產生劑化合物。可透過處理在移除可溶頂部之後顯露的結構或額外的外塗層而繼續進行處理。
因此,本文之技術提供精確且經濟的平面化處理,其使用模型化及空間上受控的由上往下之酸擴散。
依據本發明之實施例,圖6顯示用於使薄膜600平坦化之方法的流程圖。在步驟S601中,在基板301之表面上設置線間隔件305。在步驟S603中,將平坦化膜315沉積在線間隔件305及基板301的上方。應注意,平坦化膜315可為酸不穩定性材料。在步驟S605中,將酸不穩定性膜325沉積在平坦化膜315上方。在步驟S607中,將酸源膜330沉積在酸不穩定性膜325上方。在步驟S609中,將輻射圖案投射於酸源膜330上,以從PAG中溶解酸並使酸擴散至平坦化膜315中。在步驟S611中,藉由移除流體(例如顯影劑)將平坦化膜315中被酸所溶解的部分移除。
依據本發明之實施例,圖7顯示用於使薄膜700平坦化之方法的流程圖。在步驟S701中,在基板301之表面上設置線間隔件305。在步驟S703中,將酸不穩定性膜325沉積在線間隔件305及基板301的上方。在步驟S705中,將酸源膜330沉積在酸不穩定性膜325上方。在步驟S707中,基於平坦化膜315的形貌而產生輻射之校正圖案。在步驟S709中,將輻射之校正圖案投射於酸源膜330上,以從PAG中溶解酸並使酸擴散至酸不穩定性膜325中。在步驟S711中,藉由移除流體(例如顯影劑)將酸不穩定性膜325中被酸所溶解的部分移除。
依據本發明之實施例,圖8顯示用於使薄膜800平坦化之方法的流程圖。在步驟S801中,在基板301之表面上設置線間隔件305。在步驟S803中,將酸不穩定性膜325沉積在線間隔件305及基板301的上方。應注意,酸不穩定性膜325可包含嵌入於薄膜中的PAG。在步驟S805中,將輻射圖案投射於酸不穩定性膜325上,以從PAG中溶解酸,且接著酸會溶解酸不穩定性膜325之部分。在步驟S807中,藉由移除流體(例如顯影劑)將酸不穩定性膜325中被酸所溶解的部分移除。
綜上所述,本發明係關於一種使薄膜平坦化之方法,其包含:在基板之表面上提供結構,該等結構在整個該表面上具有空間上可變的密度;在該等結構及該基板上方沉積第一酸不穩定性膜,該第一酸不穩定性膜填充於該等結構之間;在該第一酸不穩定性膜上方沉積第二酸不穩定性膜;在該第二酸不穩定性膜上方沉積酸源膜,該酸源膜包含一酸產生劑,該酸產生劑係配置以響應於接收具有預定波長之光的輻射而產生酸;以及將輻射圖案投射於該酸源膜上,該輻射在該輻射圖案之預定區域處具有空間上可變的強度。
可移除該第二酸不穩定性膜中被從該酸源膜擴散的酸所溶解的部分。經溶解之該等部分未受保護免於一預定移除流體的影響。在移除該第二酸不穩定性膜中被酸所溶解的該等部分之後,可判定該第二酸不穩定性膜的平坦度。響應於判定該第二酸不穩定性膜的平坦度高於一預定閾值,可使該第二酸不穩定性膜凹陷至預定厚度以下,其中該預定閾值係由該等結構的高度所決定。在移除該第二酸不穩定性膜中被酸所溶解的該等部分之後,響應於判定該第二酸不穩定性膜的平坦度低於該預定閾值,可在該第二酸不穩定性膜上執行該第二酸不穩定性膜之第二沉積。可透過模擬該基板之該表面上之該等結構上方的該第一酸不穩定性膜之沉積而決定該輻射圖案。
將該輻射圖案投射於該酸源膜上之步驟可包含基於一高度圖而改變該輻射之空間上可變的強度,該高度圖描繪在該基板上各處沿著該第二酸不穩定性膜之頂表面的高度值。該酸產生劑為一光酸產生劑(PAG),且投射該輻射圖案使得該PAG響應於接收該輻射而產生酸。在該輻射圖案之該等預定區域處所產生的酸之濃度可基於該高度圖。該第二酸不穩定性膜可響應於存在高於預定濃度閾值的酸而具有一溶解度偏移。可將該第一酸不穩定性膜的膜層圖案轉移至一下伏層中。
可在一預定時間期間且在一預定溫度下對該基板進行烘烤。烘烤之步驟驅使酸擴散至該第二酸不穩定性膜中,且酸進入該第二酸不穩定性膜的擴散距離係基於該預定時間及該預定溫度。可透過包含獨立可定址投射點之陣列的一基於像素之投射系統而進行投射該輻射圖案之步驟,或者可透過使用倍縮光罩以形成該輻射圖案而進行投射該輻射圖案之步驟。所沉積之該第一酸不穩定性膜的形貌可為不平坦的,且係基於該等結構之空間上可變的密度。
此外,本發明係關於一種使薄膜平坦化之方法,其包含:在基板之表面上提供結構,該等結構在整個該表面上具有空間上可變的密度;在該等結構及該基板上方沉積第一酸不穩定性膜,該第一酸不穩定性膜填充於該等結構之間;在該第一酸不穩定性膜上方沉積酸源膜,該酸源膜包含一酸產生劑,該酸產生劑係配置以響應於接收具有預定波長之光的輻射而產生酸;藉由模擬該等結構之製造處理及在該等結構及該基板上方的該第一酸不穩定性膜之沉積,以產生該輻射校正圖案而投射於該酸源膜上;以及將輻射校正圖案投射於該酸源膜上,該輻射校正圖案在該輻射校正圖案之預定區域處具有空間上可變的強度。
可藉由模擬該等結構之製造處理及在該等結構及該基板上方的該第一酸不穩定性膜之沉積,以產生用於投射於該酸源膜上的該輻射校正圖案。將該輻射校正圖案投射於該酸源膜上之步驟可包含基於一高度圖而改變該輻射之空間上可變的強度,該高度圖描繪在該基板上各處沿著該第一酸不穩定性膜之頂表面的高度值。該酸產生劑可為一光酸產生劑(PAG)。投射該輻射校正圖案可使得該PAG響應於接收該輻射而產生酸。在該輻射校正圖案之該等預定區域處所產生的酸之濃度可基於一高度圖。可移除該第一酸不穩定性膜中被從該酸源膜擴散的酸所溶解的部分,經溶解之該等部分未受保護免於一預定移除流體的影響。在移除該第一酸不穩定性膜中被酸所溶解的該等部分之後,可判定該第一酸不穩定性膜的平坦度。響應於判定該第一酸不穩定性膜的平坦度高於一預定閾值,可使該第一酸不穩定性膜凹陷至預定厚度以下,其中該預定閾值係由該等結構的高度所決定。在移除該第一酸不穩定性膜中被酸所溶解的該等部分之後,響應於判定該第一酸不穩定性膜的平坦度低於該預定閾值,在該第一酸不穩定性膜上沉積該第一酸不穩定性膜之第二沉積部分。
此外,本發明係關於一種使薄膜平坦化之方法,其包含:在基板之表面上提供結構,該等結構在整個該表面上具有空間上可變的密度;在該等結構及該基板上方沉積第一酸不穩定性膜,該第一酸不穩定性膜填充於該等結構之間,該第一酸不穩定性膜包含內嵌的酸產生劑,該酸產生劑係配置以響應於接收具有預定波長之光的輻射而產生酸,該第一酸不穩定性膜可溶於酸;以及將輻射圖案投射於該第一酸不穩定性膜上,該輻射在該輻射圖案之預定區域處具有空間上可變的強度。
此外,本發明係關於一種使基板平坦化之方法,其包含:對光罩布局資料進行存取,該光罩布局資料界定欲曝光於基板上的初始微影圖案,俾獲得第一形貌圖案;在第一形貌圖案之第一模型上模擬第一微加工處理,從而獲得第二形貌圖案之第二模型,與第一形貌圖案之結構相比,第二形貌圖案具有節距減小之結構;在第二形貌圖案之第二模型上模擬第二微加工處理,從而獲得第三模型,其具有沉積於第二形貌圖案上的外塗層,外塗層之第三模型包含整個基板上之外塗層的預測z-高度值;基於外塗層之預測z-高度值而產生校正光罩布局資料;依據第一微加工處理及第二微加工處理而對基板進行處理,從而使得外塗層沉積在第二形貌圖案上;以及將光化輻射圖案投射在基板上,該光化輻射圖案為基於校正光罩布局資料的z-高度修改圖案。
在前述中,已提出特定細節,例如處理系統之特定幾何以及其中所使用之各種元件及處理之敘述。然而,吾人應了解,本文之技術可實行於不同於這些特定細節之其他實施例,且此等細節係用於解釋之目的而非用以設限制。本文揭露之實施例已參照附圖敘述。同樣地,為了作解釋,已提到特定數目、材料、及配置以供徹底理解。然而,在無這些特定細節的情況下,亦可能實行實施例。實質上具有相同功能性結構之元件係由類似的參考符號表示,因此可能省略所有多餘的敘述。
已將各種技術描述為多重的分散操作以協助理解各實施例。不應將描述之順序解釋為隱含有這些操作必須係順序相依之意。這些操作確實並不需依描述之順序執行。所述之操作可依不同於所述之實施例的順序執行。在額外之實施例中,可執行各種額外之操作及/或可省略所述之操作。
本文所提及之「基板」或「目標基板」基本上指涉依據本發明受處理之物體。該基板可包含任何材料部分或元件之結構,特別係半導體或其他電子元件,以及可係例如一基底基板結構,如半導體晶圓、標線片,或是在基底基板結構之上方或覆蓋其上之膜層例如一薄膜。因此,基板並不限於任何特定基底結構、基底層或覆蓋層、經圖案化或未經圖案化,而係考量包含任何此類膜層或基底結構,以及任何膜層及/或基底結構之組合。該敘述可參考基板之特定類型,但僅為了說明之目的。
熟悉本技藝者亦將理解,可對前述之該技術之操作做出許多變化,而依然能達到本發明之相同目的。本發明之範圍擬包含此類變化。因此,不擬將本發明之實施例之以上敘述視為限制性者。而擬將對於本發明之實施例的任何限制於以下申請專利範圍說明。
160:基板 165:心軸 170:間隔件層 175:間隔件 205:線間隔件 205a:渠溝圖案 210:硬遮罩 215:平坦化膜 220:超低介電常數(ULK)膜 301:基板 305:線間隔件 305a:渠溝圖案 310:硬遮罩 315:平坦化膜 320:超低介電常數(ULK)膜 325:酸不穩定性膜 330:酸源膜 600:薄膜 700:薄膜 800:薄膜 S601:步驟 S603:步驟 S605:步驟 S607:步驟 S609:步驟 S611:步驟 S701:步驟 S703:步驟 S705:步驟 S707:步驟 S709:步驟 S711:步驟 S801:步驟 S803:步驟 S805:步驟 S807:步驟
提出作為範例的本發明之各種實施例將參照以下圖式而詳細描述,其中相似的符號指涉相似的元件,且其中:
依據本發明之實施例,圖1為顯示自對準雙重圖案化(SADP)處理之基板部分的簡化橫剖面示意圖之側視圖。
依據本發明之實施例,圖2A為顯示一反間隔件處理之例示性結果之基板部分的橫剖面立體圖。
依據本發明之實施例,圖2B為顯示塗佈有平坦化膜的複數線間隔件之基板部分的橫剖面立體圖。
依據本發明之實施例,圖2C為具有橫剖面數據的掃描穿透式電子顯微鏡(STEM)圖。
依據本發明之實施例,圖2D為顯示在蝕刻凹入處理之後的平坦化膜之基板部分的橫剖面立體圖。
依據本發明之實施例,圖2E為顯示具有複數渠溝圖案的平坦化膜之基板部分的橫剖面立體圖。
依據本發明之實施例,圖2F為顯示轉移至硬遮罩中的渠溝圖案之基板部分的橫剖面立體圖。
依據本發明之實施例,圖2G為顯示轉移至超低介電常數(ULK)膜中的複數渠溝圖案之基板部分的橫剖面立體圖。
依據本發明之實施例,圖2H為顯示被蝕刻至ULK膜中的渠溝圖案之預定最終圖案之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3A為顯示一初始形貌圖案之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3B為顯示經塗佈之形貌圖案之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3C為顯示塗佈有酸不穩定性膜的平坦化膜之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3D為顯示塗佈有酸源膜的酸不穩定性膜之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3E為顯示透過光酸產生劑(PAG)之光活化對酸不穩定性膜進行的校正之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3F為顯示經蝕刻凹陷的平坦化膜之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3G為顯示經再塗佈額外之平坦化膜的平坦化膜之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3H為基板部分的橫剖面立體圖,其顯示出使平坦化膜凹陷以顯露線間隔件。
依據本發明之實施例,圖3I為顯示具有渠溝圖案的平坦化膜之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3J為顯示轉移至硬遮罩中的渠溝圖案之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3K為顯示轉移至ULK膜中的渠溝圖案之基板部分的橫剖面立體圖。
依據本發明之實施例,圖3L為顯示用於減小薄膜厚度的例示性控制範圍之繪圖。
依據本發明之實施例,圖4A為基板部分的俯視圖,其顯示欲在曝光處理中使用的心軸之簡化片段或部分。
依據本發明之實施例,圖4B為基板部分的俯視圖,其顯示基於心軸片段的自對準雙重圖案化(SADP)結構之模擬。
依據本發明之實施例,圖4C為基板部分的俯視圖,其顯示在模塊級處理中之額外遮罩的模擬。
依據本發明之實施例,圖4D為基板部分的俯視圖,其顯示酸不穩定性膜之例示性最終曝光遮罩。
依據本發明之實施例,圖5A顯示例示性樹脂系統的結構式。
依據本發明之實施例,圖5B顯示PAG之酸產生機制的結構式。
依據本發明之實施例,圖6為顯示使薄膜平坦化之方法的流程圖。
依據本發明之實施例,圖7為顯示使薄膜平坦化之方法的流程圖。
依據本發明之實施例,圖8為顯示使薄膜平坦化之方法的流程圖。
301:基板
310:硬遮罩
315:平坦化膜
320:超低介電常數(ULK)膜
325:酸不穩定性膜

Claims (20)

  1. 一種使薄膜平坦化之方法,該方法包含:在基板之表面上提供結構,該等結構在整個該表面上具有空間上可變的密度;在該等結構及該基板上方沉積第一酸不穩定性膜,該第一酸不穩定性膜填充於該等結構之間;在該第一酸不穩定性膜上方沉積第二酸不穩定性膜;在該第二酸不穩定性膜上方沉積酸源膜,該酸源膜包含一酸產生劑,該酸產生劑係配置以響應於接收具有預定波長之光的輻射而產生酸;以及將輻射圖案投射於該酸源膜上,該輻射在該輻射圖案之預定區域處具有空間上可變的強度。
  2. 如請求項1之方法,更包含:移除該第二酸不穩定性膜中被從該酸源膜擴散的酸所溶解的部分,經溶解之該等部分未受保護免於一預定移除流體的影響。
  3. 如請求項2之方法,如請求項2之使薄膜平坦化之方法,更包含:在移除該第二酸不穩定性膜中被酸所溶解的該等部分之後,判定該第二酸不穩定性膜的平坦度;以及響應於判定該第二酸不穩定性膜的平坦度高於一預定閾值,使該第二酸不穩定性膜凹陷至預定厚度以下,其中該預定閾值係由該等結構的高度所決定。
  4. 如請求項3之方法,其中,在移除該第二酸不穩定性膜中被酸所溶解的該等部分之後,響應於判定該第二酸不穩定性膜的平坦度低於該預定閾值,在該第二酸不穩定性膜上沉積該第二酸不穩定性膜之第二沉積部分。
  5. 如請求項1之方法,其中透過模擬該基板之該表面上之該等結構上方的該第一酸不穩定性膜之沉積而決定該輻射圖案。
  6. 如請求項1之方法,其中將該輻射圖案投射於該酸源膜上之步驟包含基於一高度圖而改變該輻射之空間上可變的強度,該高度圖描繪在該基板上各處沿著該第二酸不穩定性膜之頂表面的高度值。
  7. 如請求項6之方法,其中該酸產生劑為一光酸產生劑(PAG);投射該輻射圖案使得該PAG響應於接收該輻射而產生酸;並且在該輻射圖案之該等預定區域處所產生的酸之濃度係基於該高度圖。
  8. 如請求項1之方法,其中該第二酸不穩定性膜響應於存在高於預定濃度閾值的酸而具有一溶解度偏移。
  9. 如請求項8之方法,更包含:將該第一酸不穩定性膜的膜層圖案轉移至一下伏層中。
  10. 如請求項1之方法,更包含:在一預定時間期間且在一預定溫度下對該基板進行烘烤,其中烘烤之步驟驅使酸擴散至該第二酸不穩定性膜中;以及酸進入該第二酸不穩定性膜的擴散距離係基於該預定時間及該預定溫度。
  11. 如請求項1之方法,其中透過一基於像素之投射系統而進行投射該輻射圖案之步驟,該基於像素之投射系統包含獨立可定址投射點之陣列。
  12. 如請求項1之方法,其中透過使用倍縮光罩以形成該輻射圖案而進行投射該輻射圖案之步驟。
  13. 如請求項1之方法,其中所沉積之該第一酸不穩定性膜的形貌為不平坦的,且係基於該等結構之空間上可變的密度。
  14. 一種使薄膜平坦化之方法,該方法包含:在基板之表面上提供結構,該等結構在整個該表面上具有空間上可變的密度;在該等結構及該基板上方沉積第一酸不穩定性膜,該第一酸不穩定性膜填充於該等結構之間,該第一酸不穩定性膜與該等結構相接觸;在該第一酸不穩定性膜上方沉積酸源膜,該酸源膜包含一酸產生劑,該酸產生劑係配置以響應於接收具有預定波長之光的輻射而產生酸;以及將輻射校正圖案投射於該酸源膜上,該輻射校正圖案在該輻射校正圖案之預定區域處具有空間上可變的強度。
  15. 如請求項14之方法,更包含:藉由模擬該等結構之製造處理及在該等結構及該基板上方的該第一酸不穩定性膜之沉積,以產生該輻射校正圖案而投射於該酸源膜上。
  16. 如請求項15之方法,其中將該輻射校正圖案投射於該酸源膜上之步驟包含基於一高度圖而改變該輻射之空間上可變的強度,該高度圖描繪在該基板上各處沿著該第一酸不穩定性膜之頂表面的高度值。
  17. 如請求項15之方法,其中該酸產生劑為一光酸產生劑(PAG);投射該輻射校正圖案使得該PAG響應於接收該輻射而產生酸;並且 在該輻射校正圖案之該等預定區域處所產生的酸之濃度係基於一高度圖。
  18. 如請求項14之方法,更包含:移除該第一酸不穩定性膜中被從該酸源膜擴散的酸所溶解的部分,經溶解之該等部分未受保護免於一預定移除流體的影響。
  19. 如請求項18之方法,更包含:在移除該第一酸不穩定性膜中被酸所溶解的該等部分之後,判定該第一酸不穩定性膜的平坦度;以及響應於判定該第一酸不穩定性膜的平坦度高於一預定閾值,使該第一酸不穩定性膜凹陷至預定厚度以下,其中該預定閾值係由該等結構的高度所決定。
  20. 如請求項19之方法,其中,在移除該第一酸不穩定性膜中被酸所溶解的該等部分之後,響應於判定該第一酸不穩定性膜的平坦度低於該預定閾值,在該第一酸不穩定性膜上沉積該第一酸不穩定性膜之第二沉積部分。
TW108140973A 2018-11-13 2019-11-12 有機膜的平坦化方法 TWI842773B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862760622P 2018-11-13 2018-11-13
US62/760,622 2018-11-13

Publications (2)

Publication Number Publication Date
TW202043916A TW202043916A (zh) 2020-12-01
TWI842773B true TWI842773B (zh) 2024-05-21

Family

ID=70550777

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108140973A TWI842773B (zh) 2018-11-13 2019-11-12 有機膜的平坦化方法

Country Status (6)

Country Link
US (1) US11393694B2 (zh)
JP (1) JP7371308B2 (zh)
KR (1) KR20210076157A (zh)
CN (1) CN113016054B (zh)
TW (1) TWI842773B (zh)
WO (1) WO2020102164A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11656550B2 (en) 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness
EP4030465A1 (en) * 2021-01-14 2022-07-20 Imec VZW A patterning method
CN117916852A (zh) * 2021-08-25 2024-04-19 杰米纳蒂奥公司 半导体图案化中的辅助特征放置
CN117941028A (zh) * 2021-08-25 2024-04-26 杰米纳蒂奥公司 自对准的堆建方法
CN116741626A (zh) 2022-03-04 2023-09-12 长鑫存储技术有限公司 一种半导体结构的制备方法及半导体结构
TWI824680B (zh) * 2022-08-25 2023-12-01 美商杰米納帝歐股份有限公司 自對準堆積方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101572226A (zh) * 2008-05-02 2009-11-04 三星电子株式会社 形成半导体器件的精细图案的方法
TW201109846A (en) * 2009-03-31 2011-03-16 Tokyo Electron Ltd Using electric-field directed post-exposure bake for double patterning (D-P)
US20150340246A1 (en) * 2014-05-20 2015-11-26 Sang-Yoon Woo Method of forming patterns and method of manufacturing integrated circuit device using the same
US20180314155A1 (en) * 2017-05-01 2018-11-01 Rohm And Haas Electronic Materials Llc Pattern formation methods and photoresist pattern overcoat compositions

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60128636A (ja) * 1983-12-16 1985-07-09 Toshiba Corp 半導体装置の製造方法
US6423465B1 (en) * 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
JP2002006512A (ja) * 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
JP2003273211A (ja) * 2002-03-14 2003-09-26 Sony Corp 半導体装置の製造方法
US6905621B2 (en) 2002-10-10 2005-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing the etch transfer of sidelobes in contact hole patterns
CN101326635B (zh) * 2005-12-14 2010-08-18 富士通微电子株式会社 半导体器件及其制造方法
TWI374478B (en) * 2007-02-13 2012-10-11 Rohm & Haas Elect Mat Electronic device manufacture
US9640396B2 (en) * 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
JP5780222B2 (ja) * 2011-09-16 2015-09-16 信越化学工業株式会社 パターン形成方法
JP2013143527A (ja) * 2012-01-12 2013-07-22 Toshiba Corp パターン形成方法及びパターン形成材料
JP6357231B2 (ja) * 2013-11-08 2018-07-11 東京エレクトロン株式会社 化学的研磨平坦化の方法
CN104821318A (zh) * 2014-01-30 2015-08-05 中芯国际集成电路制造(上海)有限公司 分离栅存储器件及其形成方法
TWI584061B (zh) * 2014-08-27 2017-05-21 羅門哈斯電子材料有限公司 多重圖案的形成方法
KR20160121059A (ko) 2015-04-09 2016-10-19 에스케이하이닉스 주식회사 미세 패턴 형성 방법
WO2016168079A1 (en) * 2015-04-13 2016-10-20 Tokyo Electron Limited System and method for planarizing a substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101572226A (zh) * 2008-05-02 2009-11-04 三星电子株式会社 形成半导体器件的精细图案的方法
KR20090115564A (ko) * 2008-05-02 2009-11-05 삼성전자주식회사 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
TW201109846A (en) * 2009-03-31 2011-03-16 Tokyo Electron Ltd Using electric-field directed post-exposure bake for double patterning (D-P)
US20150340246A1 (en) * 2014-05-20 2015-11-26 Sang-Yoon Woo Method of forming patterns and method of manufacturing integrated circuit device using the same
US20180314155A1 (en) * 2017-05-01 2018-11-01 Rohm And Haas Electronic Materials Llc Pattern formation methods and photoresist pattern overcoat compositions

Also Published As

Publication number Publication date
JP7371308B2 (ja) 2023-10-31
CN113016054A (zh) 2021-06-22
CN113016054B (zh) 2024-02-20
US11393694B2 (en) 2022-07-19
KR20210076157A (ko) 2021-06-23
WO2020102164A1 (en) 2020-05-22
US20200152472A1 (en) 2020-05-14
TW202043916A (zh) 2020-12-01
JP2022507256A (ja) 2022-01-18

Similar Documents

Publication Publication Date Title
TWI842773B (zh) 有機膜的平坦化方法
US7943289B2 (en) Inverse resist coating process
US7582413B2 (en) Substrate, method of exposing a substrate, machine readable medium
CN107660277B (zh) 用于对衬底进行平坦化的系统和方法
CN101335198B (zh) 形成半导体器件的精细图案的方法
US8084185B2 (en) Substrate planarization with imprint materials and processes
KR20120126442A (ko) 반도체 소자의 패턴 형성 방법
US20230274940A1 (en) Method to form narrow slot contacts
US6762133B1 (en) System and method for control of hardmask etch to prevent pattern collapse of ultra-thin resists
KR100935001B1 (ko) 리소그래피 디바이스 제조 방법, 리소그래피 셀, 및 컴퓨터프로그램 제품
JP6347329B2 (ja) パターン形成方法及びデバイス製造方法
US20220388232A1 (en) Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system
US11526087B2 (en) Method of manufacturing a semiconductor device
US20230251570A1 (en) Selective Deprotection via Dye Diffusion
Xu et al. SPIE Advanced Lithography
JP2005175259A (ja) パターン形成方法、マスクおよび露光装置
JPH08220777A (ja) パターン形成方法
KR100772784B1 (ko) 이유브이 노광 공정용 위상반전 마스크 및 그 제조 방법