JP4427562B2 - パターン形成方法 - Google Patents

パターン形成方法 Download PDF

Info

Publication number
JP4427562B2
JP4427562B2 JP2007154484A JP2007154484A JP4427562B2 JP 4427562 B2 JP4427562 B2 JP 4427562B2 JP 2007154484 A JP2007154484 A JP 2007154484A JP 2007154484 A JP2007154484 A JP 2007154484A JP 4427562 B2 JP4427562 B2 JP 4427562B2
Authority
JP
Japan
Prior art keywords
pattern
film
resist pattern
resist
relacs
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007154484A
Other languages
English (en)
Other versions
JP2008306144A (ja
Inventor
裕子 中村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2007154484A priority Critical patent/JP4427562B2/ja
Priority to US12/135,548 priority patent/US20080305443A1/en
Publication of JP2008306144A publication Critical patent/JP2008306144A/ja
Application granted granted Critical
Publication of JP4427562B2 publication Critical patent/JP4427562B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

本発明は、半導体のパターンを形成するリソグラフィー技術に関し、特にレジストパターンの倍ピッチのパターンを形成する技術に関するものである。
装置の短波長化やNAの向上に比べて、デバイスの微細化が早まっている。このため倍周期のパターン形成方法が提案・検討されている。このひとつの方法が側壁残しプロセスである。
また、側壁にパターンを作る方法としてRELACS(Resolution Enhancement Lithography Assisted by Chemical Shrink)が知られている。この技術では、露光の際に発生した酸がレジストパターン側面に存在するため、酸を利用して樹脂を架橋させ、レジストパターン側壁にパターンを形成する技術である(例えば、特許文献1参照)。
しかし微細パターンにおいては、露光の際の光の回折により、酸がパターン上方にも発生しており、パターン上方にもRELACS膜が残存してしまう。この後全面RIE(反応性イオンエッチング)を行うと、レジストとRELACSがミキシングしてしまい、レジスト除去が困難になってしまうという問題があった。
米国特許第6383952号明細書
本発明は、工程数が削減でき、低コストで倍周期のパターンを形成することが可能なパターン形成方法を提供する。
この発明の第1の態様に係るパターン形成方法は、被加工膜の上にレジストパターンを形成する工程と、前記レジストパターンの上面にイオンを注入する工程と、前記イオンの注入後に、前記レジストパターンを覆うように有機膜を形成する工程と、前記有機膜を加熱する工程と、加熱後の前記有機膜を現像することにより、前記レジストパターンの側面に前記有機膜を熱架橋させた架橋樹脂膜を形成する工程と、前記架橋樹脂膜の形成後に前記レジストパターンを除去する工程と、前記架橋樹脂膜をマスクとして前記被加工膜を加工する工程とを含む。
この発明の第2の態様に係るパターン形成方法は、被加工膜の上にレジストパターンを形成する工程と、前記レジストパターンの一部の上面に選択的にイオンを注入する工程と、前記イオンの注入後に、前記レジストパターンを覆うように有機膜を形成する工程と、前記有機膜を加熱する工程と、加熱後の前記有機膜を現像することにより、イオン注入された前記レジストパターン部の側面とイオン注入されていない前記レジストパターン部の側面及び上面に前記有機膜を熱架橋させた架橋樹脂膜を形成する工程と、前記架橋樹脂膜の形成後にイオン注入された前記レジストパターン部を除去する工程と、前記架橋樹脂膜およびイオン注入されていないレジストパターンをマスクとして前記被加工膜を加工する工程とを含む。
本発明によれば、工程数が削減でき、低コストで倍周期のパターンを形成することが可能なパターン形成方法を提供できる。
以下、図面を参照して本発明の実施形態について詳細に説明する。図面において、対応する部分には対応する符号を付し、同一又は類似の部分には同一又は類似の符号で示している。
(第1の実施形態)
本発明の第1の実施形態に係るパターン形成方法を図2を用いて説明する。
本実施形態においては、RELACSを用いて側壁パターンを形成し、さらにレジストパターン上面にRELACSが残留しないようあらかじめイオンを打ち込んでレジストの酸発生剤(PAG:Photo Acid Generator)を失活させる方法について述べる。
本実施形態と対比するために、はじめに従来の側壁残しプロセスの工程を図1に示す。従来方法では、まず、図1(a)に示すように、被加工膜10の上にTEOS膜等の酸化膜11を形成し、さらにその上にレジストパターン12を形成する。
次に、図1(b)に示すように、レジストパターン12を一旦、酸化膜11に転写し、さらに、図1(c)に示すように、レジストパターン12を剥離する。
その後、図1(d)に示すように、酸化膜11のパターン及び被加工膜10を覆うようにa-Si13をスパッタする。この後、図1(e)に示すように、全面RIE等で平坦化を行って酸化膜パターン11上方に形成されたa-Si13を除去する。
その後、図1(f)に示すように、酸化膜11(TEOS膜)を除去し、図1(g)に示すように、a-Si13をマスクとして例えば、電極材料等である被加工膜10を加工するというプロセスを取る。このため工程数が多く、コストの増大につながっていた。
一方、本実施形態においては、図2(a)に示すように、被加工膜10、例えばSi、poly Si、酸化膜、W等、上にレジストパターン12を形成するところまでは従来のRELACS処理と同じである。
そのまま所望のレジストパターン12を露光により形成しても良いし、マージンを確保したい場合には、一旦レジストパターン12を形成した後、アッシング等でレジストのスリミング化を行い、所望のパターンを形成してもよい。
この後、図2(b)に示すように、イオンを注入してレジストパターン12の表層のみにイオンを打ち込み、レジスト中のイオン注入層14内の酸発生剤であるPAGを失活させる。即ち、レジストパターン12を形成するレジストはイオン注入により失活する酸発生剤(PAG)を含んでいる。
この後、図2(c)に示すように、RELACS処理を行う。
ここで、一般的なRELACS処理について説明する。
RELACS材料は、酸の存在下加熱により架橋する樹脂を含む有機材料であり、酸の存在下で加熱すると、酸によりレジスト中の酸性基、例えばカルボン酸と架橋反応が起こる。その後、現像することで、架橋部のみが残る。レジストに露光光を照射すると、PAGが分解し、酸が発生する。ポジレジストの場合には発生した酸とレジスト高分子の保護基が外れて酸性基となる。酸性基を持つ高分子はアルカリ性の現像液に溶解し、レジストパターンが得られる。露光の際の光学像の強度はレジストパターンとなる部分と現像により溶解する部分とで急峻に変わるわけではない。また、ポストエクスポージャーベークの際に酸は拡散する。このためレジストパターン部でも酸や酸性基は存在する。
従って、レジストパターンにRELACS剤(有機膜)を塗布し、加熱すると、レジストパターン側壁やその上方に存在する酸によりRELACS剤は酸性基と熱架橋する。この後、水等で現像すると、架橋していないRELACS剤は除去されて、レジストパターン側壁、上方のみに熱架橋して架橋樹脂膜となったRELACS剤が残る。
よって、本実施形態で実行したようなインプラ処理を行わないと、図3に示すようにレジストパターン12の上面にRELACS剤15が残存し、レジストパターン12の上面を覆ってしまう。
しかし、本実施形態においては図2(b)に示すように、イオン注入を行うことでレジストパターン12の上面のPAGを失活させている。このため、その後にレジストパターン12を覆うようにRELACS剤である有機膜を形成し、該有機膜を加熱し、さらにこの有機膜を現像するRELACS処理を行うと、図2(c)に示すように、レジストパターン12の上面のイオン注入層14の表面にはRELACS剤15は残存しなくなる。
図3に示すような場合、図1の従来例のようにレジストパターン12上面のRELACS剤15を全面RIEのような方法で除去することは可能である。しかし、RIE中にイオンやプラズマ等にさらされ両者のミキシングが起こりやすくなる。この後レジスト12のみを除去する必要があるので、ミキシングが起こってしまうとレジスト12の除去が困難となる。しかし、本実施形態においては、図2(c)に示すようにレジストパターン12上方にRELACS剤が残存しないためミキシングを避けることができる。
ところで、RELACS剤の架橋を行わせるために加熱するが、この際に酸は拡散する。酸が拡散して表層まで達してしまうと、RELACS剤を架橋させてしまうため、一定以上の深さまでPAGを分解しなくてはならない。従って、PAGが分解・失活する深さはある程度の深さが必要となる。
一方、PAGが分解・失活する深さは深くなりすぎてもいけない。PAGが分解・失活したレジストパターン部14では酸が存在しないためRELACS剤15はその側壁に形成されない。RELACSパターン15は、この後電極材料等の被加工膜10をエッチングする際のマスクとなるため、エッチングに耐えられるだけの十分な膜厚が必要なのである。
したがってPAGが分解・失活されるべき深さは限定される。すなわち酸の拡散長より厚く、レジスト膜厚からRELACSパターンがエッチングマスクとなるのに必要な膜厚を引いた値より薄いことが必要である。
PAGの分解はイオンが到達しなければ起こらないので、レジスト材料が決まれば、イオンの加速電圧は限定される。すなわち、RELACS剤の架橋反応の際に酸が表層まで達しないようにするためには加速電圧を一定以上にしなくてはならない。一方、RELACSパターンがエッチングマスクとなるとなるためにはイオンの加速電圧は一定以下でなくてはならない。
イオンの到達数は飛程より深くなると急激に減少する。したがって所望の深さだけPAGの分解・失活を行うためには、図2(b)において打ち込んだイオンのレジスト中の飛程が、レジスト中に発生した酸の拡散長よりは大きく、レジスト膜厚からRELACSパターンが被加工膜10のエッチングマスクとなるのに必要な膜厚を引いた値より小さいことが望ましい。上記飛程はイオンの加速電圧を変化させることで調整する。
ここで望ましいとしているのは、PAGの分解確率はイオンドーズ量で決まるため効率的に行うには上記条件が望ましいが、ドーズを多くすれば、条件から外れても目的を達成できることがあるからである。
使用するイオンは電極材料に影響を与えないものやレジストの剥離に問題が生じないようにしなければならない。He、Ar、Ne、Kr、Nといった不活性ガスは問題が生じにくいので使用可能であり、本実施形態においてはArを用いた。
図2(c)のRELACS処理の後、図2(d)に示すようにレジスト12及び14を除去する。レジストの剥離方法はアッシング、シンナー剥離、露光して現像する方法がある。一般に、イオン注入領域は架橋してしまうため、イオン注入量が多くなるとその部分はシンナーでは溶けなくなってくる。
従って、イオン注入のドーズ量が少ない場合はシンナー剥離でレジストを除去することができる。よって、RELACS剤とレジストとで溶剤であるシンナーに対する耐性が異なるような材料設計を行い、レジストのみ剥離して、RELACS剤は剥離しないシンナーを選択することにより、レジストのみ剥離する。
又、イオン注入量が少ない場合は露光及び現像を行ってレジストを除去することも可能で、この場合には、レジストはポジ型を用いる。パターニング時には未露光部に相当するが、図2(c)のRELACS処理の後、露光、ベークを行う。これにより発生した酸がレジストの保護基を脱離させ、現像液に可溶となる。この後、現像を行ってレジストパターン12及び14を除去する。
一方、イオン注入量が多い場合は、アッシングによりレジストを剥離する必要があるが、この場合にはRELACS剤中に、アッシング中の酸化により形成された酸化物の蒸気圧が低い元素を含有させる。
酸化物の蒸気圧が低いとアッシング中に飛ばず、RELACSパターンがアッシング後も残存する。これにより酸化物を含む側壁パターンが形成される。RELACS剤は例えばSi含有のものを使用する。この場合には酸化ケイ素ができ、これが側壁パターンになる。
図2(d)に示したようにレジストを除去した後、図2(e)に示すようにRELACS剤の側壁パターン15をマスクとして被加工膜10をエッチングし、最後に図2(f)に示すようにRELACS15を除去して所望の被加工膜パターン10を得る。
なお、図2(d)に示したようにレジストを除去した後、被加工膜10を加工する前に、RELACSパターン15に対してスリミングを実行してもよい。
上記では被加工膜剤の上にそのままレジストパターンを形成する場合を示した。しかし、実際には微細パターンを形成する場合には有機BARC(Bottom Anti-Reflection Coating:下層反射防止膜)や2層BARCを用いることが多い。2層BARCは、透過率を抑える有機膜の下層とその上層である位相を調整する膜の組み合わせからなるBARCである。多くの場合、後者の位相調整層はシリコン酸化膜系の材料を使用する。例えば、下層の透過率調整層はスピンオンカーボン、上層の位相調整層はスピンオングラスなどである。
これらを用いる場合には、図2(d)の被加工膜10の上にBARCや2層BARCが形成されるため、図2(d)までの工程は同じで、そこから図2(e)までの間にRELACSパターン15によるBARCのエッチング工程が入る。
BARCをレジストパターンの下に形成することは反射防止ということでリソグラフィー性能を向上させるばかりではなく、本実施形態においてイオン注入の際の被加工膜10の保護という点でも効果がある。
図4は被加工膜10の上にBARC16を形成した場合の図2(b)に対応する図である。イオン注入の際にはレジストばかりでなく、BARC16中にもイオンが注入される。BARCエッチング時のエッチング速度は、BARCのイオン注入層17においては非注入領域に比べて若干変化するが、大きな変化は無い。従って、最終的にはBARC16及び17は剥離してしまうので、被加工膜10にイオンが到達しないだけの膜厚のBARC16を設ければ、被加工膜10の保護膜となる。
一般に、イオン注入によって注入されたイオンの深さは、イオンの飛程+(飛程の標準偏差)×3の深さにほぼおさまる。したがって、BARC16中のイオンの飛程+(飛程の標準偏差)×3よりBARC16の膜厚を厚くすれば被加工膜10にイオンは到達しないことになる。
BARC16が2層BARCの場合には位相調整層と透過率調整層の2層でイオンがすべて止まるようにする必要がある。この場合には比較的膜厚の薄い上層の位相調整層を通過したイオンの、透過率調整層中の飛程+(飛程の標準偏差)×3より透過率調整層の膜厚が大きいことが必要である。
ただし、イオン注入により影響が出ない被加工膜10を用いる場合にはBARC16の膜厚を特に考慮する必要は無い。
以上説明した本実施形態に係るパターン形成方法を用いて半導体装置を製造した場合の製造方法を以下に説明する。ここでは、素子分離層及びゲート電極を含む配線層の形成方法を説明する。
素子分離層形成においては、Si上にSiNを形成後、上記方法で形成されたRELACSパターンをマスクに下層のSiN、Siをエッチングする。また、SiNとRELACSパターンの間にa-Si、TEOS等のハードマスク等を設け、RELACSパターンをマスクにしてハードマスクにパターンを転写後、ハードマスクパターンをマスクにSiN、Siをパターニングしても良い。
また、NANDフラッシュメモリを形成する際における素子分離層については、素子分離層を形成する前に、トンネル酸化膜、フローティングゲート形成のためのポリSi膜を形成してしまうこともある。この場合にはSi上ではなく、ポリSi上にSiNを形成した後、上記RELACSパターンを用いてSiまで順に加工する。
いずれにせよ、RELACSパターン剥離後、Siのトレンチパターンが形成される。この上に酸化膜を形成した後、CMPにより平坦化する。この後SiNを除去する。これによりトレンチが酸化膜で埋まり、素子分離パターンが形成される。
ゲート電極を含む配線層の形成においては、ゲート酸化膜、ポリSi膜形成後、上記方法で形成されたRELACSパターンをマスクに下層のポリSiおよびゲート酸化膜をパターニングしてゲートパターンを形成する。また、ポリSiとRELACSパターンの間にSiN等を設け、RELACSパターンをマスクにSiNをパターニングし、SiNをマスクにポリSiをパターニングしても良い。
NANDフラッシュメモリの場合には、フローティングゲートを形成した後、インターポリ絶縁膜を形成し、この上にコントロールゲートとなるポリSiを形成する。この場合もポリSi上にRELACSパターンを形成する。また、ポリSiとRELACSパターンの間にSiN等を設けても良い。
ゲート電極以外の配線層の形成においては、上記方法で形成されたRELACSパターンをマスクに下層の酸化膜(層間絶縁膜)をエッチングする。これにより酸化膜からなる溝パターンを形成する。この後、バリアメタル、Cuシードをスパッタし、電解めっきによりCuを形成する。酸化膜上面のCuをCMPにより除去し、Cu配線を形成する。
(第2の実施形態)
本発明の第2の実施形態に係るパターン形成方法を図2及び図5を用いて説明する。
第1の実施形態においては、セル部のみを作る場合を想定して説明した。しかしセル部と周辺回路部を別々に形成すると、コストが増加する。そこで本実施形態においては、周辺回路とセル部を同時に形成する方法について説明する。従って、以下の周辺回路部に対する工程は、第1の実施形態において説明した工程と同時並列的に実行することが可能である。
図5は周辺回路部についての製造工程の工程断面図を示したものであり、図5(a)〜(f)の各工程は、それぞれ図2(a)〜(f)の各工程と対応しており、それぞれが同時に実行される工程である。
まず、図5(a)に示すように、最初のレジストパターニング段階では周辺部はRELACS処理においてパターン寸法が変化する量を見込んでライン幅が細くなるように形成する。アッシング等のレジストスリミングが入る場合にはスリミング量とRELACSにてパターン寸法が変化する量双方を考慮してパターニングを行う。
次に、セル部に関しては、図2(b)に示すようにパターン上層にRELACSが形成されないようにイオン注入を行う。この際、セル部のみ選択的にイオンが注入できるように、例えば、セル部に対応する領域のみが開口したステンシルをウエハ上方に配置する(図示せず)。これにより、セル部のみにイオンが注入され、図5(b)に示すように周辺回路部にはイオンが注入されなくなる。即ち、レジストパターン全体の一部の上面にのみイオンを注入する。
そして、RELACS剤の塗布、ベーク、現像を行い、図2(c)及び図5(c)に示すようにRELACS15をレジスト12の側壁部に形成する。ただし、周辺回路部はイオン照射を行っていないため、レジスト12の上部にもRELACS15が形成される(図5(c))。このため、引き続く図5(d)に示すレジスト除去の工程においては、図2(d)とは異なりRELACS15がレジスト12を保護しているため、周辺回路部のレジスト12は除去されない。
この後、図5(e)に示すように周辺回路部ではレジスト12とRELACS15からなるパターンをマスクとして被加工膜10のエッチングを行う。このとき、セル部では図2(e)に示すようにRELACSパターン15をマスクとして被加工膜10がエッチングされる。
最後に、図5(f)に示すように、RELACS15およびレジスト12を除去して、周辺回路部に対する所望の被加工膜パターン10が得られる。
このように選択的イオン注入を行うことでセル部と周辺回路部を一度に形成することが可能となる。
デバイスの微細化に対応して倍周期のパターン形成方法が提案・検討されており、ひとつの方法として側壁残しプロセスがある。しかし従来方法では、レジストパターンを一旦、酸化膜に転写し、この側壁にa-Siをスパッタする。そして、酸化膜パターン上方に形成されたa-Siを全面RIEで除去後、酸化膜を除去し、側壁にスパッタされたa-Siをマスクに電極材を加工するというプロセスを取る。このため工程数が多く、コストの増大につながっていた。
本実施形態においては、被加工膜の上にレジストパターンを形成後、レジストパターン上方の酸発生剤(PAG)を失活させるためにレジスト表層にのみイオンを照射注入する。その後、Si含有樹脂からなるRELACS材を使って処理し、イオンが照射されていないレジストパターンの側壁にのみ架橋樹脂膜を形成してパターンを形成する。この後、アッシング等によりレジストパターンを除去して、架橋樹脂膜をマスクに被加工膜を加工する。これにより、レジストパターンを一旦転写してから側壁パターンを形成する方法に比べて工程数を削減して、低コストに倍周期のパターンを形成することが可能となる。
また、イオンをレジストパターン上部に注入することでレジスト中のPAGが失活するためパターン上部に架橋樹脂膜が形成されなくなり、レジスト側壁のみに架橋樹脂膜が形成されるため、レジスト上部の架橋樹脂膜をあとから除去する必要が無くなり、レジストと架橋樹脂のミキシングを避けられる。これによりレジストの除去が容易になる。
なお、本願発明は上記実施形態に限定されるものではなく、実施段階ではその要旨を逸脱しない範囲で種々に変形することが可能である。また、上記実施形態には種々の段階の発明が含まれており、開示される複数の構成要件における適宜な組み合わせにより種々の発明が抽出されうる。例えば、実施形態に示される全構成要件からいくつかの構成要件が削除されても、発明が解決しようとする課題の欄で述べた課題が解決でき、発明の効果の欄で述べられている効果が得られる場合には、この構成要件が削除された構成が発明として抽出されうる。更に、異なる実施形態にわたる構成要素を適宜組み合わせてもよい。
従来の側壁残しプロセスによるパターン形成方法の工程を示す断面図。 本発明の第1の実施形態に係るパターン形成方法の工程を示す断面図。 イオン注入を行わない場合にレジストパターンの上方にもRELACSパターンが形成される様子を説明する断面図。 第1の実施形態において被加工膜上にBARCを形成した場合に、BARCへのイオン注入層ができる様子を説明する断面図。 本発明の第2の実施形態に係るパターン形成方法の工程を示す断面図。
符号の説明
10…被加工膜、11…酸化膜、12…レジストパターン、13…a-Si、
14…イオン注入層、15…RELACSパターン、16…BARC、
17…BARCのイオン注入層。

Claims (5)

  1. 被加工膜の上にパターン形成時の露光によって発生した酸を含んだレジストパターンを形成する工程と、
    前記レジストパターンの上面にイオンを注入して前記レジストパターン中のイオンが注入された部分に存在する酸を失活させる工程と、
    前記イオンの注入後に、前記レジストパターンを覆うように有機膜を形成する工程と、
    前記有機膜を加熱することにより前記露光によって発生した酸を用いて前記有機膜を熱架橋させる工程と、
    加熱後の前記有機膜を現像することにより、前記レジストパターンの側面に前記有機膜を熱架橋させた架橋樹脂膜を形成する工程と、
    前記架橋樹脂膜の形成後に前記レジストパターンを除去する工程と、
    前記架橋樹脂膜をマスクとして前記被加工膜を加工する工程と
    を具備したことを特徴とするパターン形成方法。
  2. 被加工膜の上にパターン形成時の露光によって発生した酸を含んだレジストパターンを形成する工程と、
    前記レジストパターンの一部の上面に選択的にイオンを注入して前記レジストパターン中のイオンが注入された部分に存在する酸を失活させる工程と、
    前記イオンの注入後に、前記レジストパターンを覆うように有機膜を形成する工程と、
    前記有機膜を加熱することにより前記露光によって発生した酸を用いて前記有機膜を熱架橋させる工程と、
    加熱後の前記有機膜を現像することにより、イオン注入された前記レジストパターン部の側面とイオン注入されていない前記レジストパターン部の側面及び上面に前記有機膜を熱架橋させた架橋樹脂膜を形成する工程と、
    前記架橋樹脂膜の形成後にイオン注入された前記レジストパターン部を除去する工程と、
    前記架橋樹脂膜およびイオン注入されていないレジストパターンをマスクとして前記被加工膜を加工する工程と
    を具備したことを特徴とするパターン形成方法。
  3. 前記レジストパターン又は前記架橋樹脂膜をスリミングする工程を更に含む
    ことを特徴とする請求項1又は2に記載のパターン形成方法。
  4. 前記イオンはHe、Ne、Ar、Kr、Nの少なくとも一つを含むイオンである
    ことを特徴とする請求項1又は2に記載のパターン形成方法。
  5. 前記レジストパターンを除去する工程は、アッシングによりレジストパターンを除去するものである
    ことを特徴とする請求項1又は2に記載のパターン形成方法。
JP2007154484A 2007-06-11 2007-06-11 パターン形成方法 Expired - Fee Related JP4427562B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007154484A JP4427562B2 (ja) 2007-06-11 2007-06-11 パターン形成方法
US12/135,548 US20080305443A1 (en) 2007-06-11 2008-06-09 Pattern forming method using relacs process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007154484A JP4427562B2 (ja) 2007-06-11 2007-06-11 パターン形成方法

Publications (2)

Publication Number Publication Date
JP2008306144A JP2008306144A (ja) 2008-12-18
JP4427562B2 true JP4427562B2 (ja) 2010-03-10

Family

ID=40096193

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007154484A Expired - Fee Related JP4427562B2 (ja) 2007-06-11 2007-06-11 パターン形成方法

Country Status (2)

Country Link
US (1) US20080305443A1 (ja)
JP (1) JP4427562B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216163A (zh) * 2017-06-29 2019-01-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009078207A1 (ja) * 2007-12-14 2009-06-25 Jsr Corporation パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010287861A (ja) * 2009-06-15 2010-12-24 Toshiba Corp 半導体装置の製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8912097B2 (en) * 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP5768397B2 (ja) * 2011-02-16 2015-08-26 三菱電機株式会社 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103367156B (zh) * 2012-03-31 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103871846B (zh) * 2012-12-18 2017-06-13 中芯国际集成电路制造(上海)有限公司 自对准多重图形化方法及硅基硬掩模组合物的应用
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI584061B (zh) * 2014-08-27 2017-05-21 羅門哈斯電子材料有限公司 多重圖案的形成方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN105988284B (zh) * 2015-02-04 2019-10-22 中芯国际集成电路制造(上海)有限公司 双掩膜自对准图案化的方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10310379B2 (en) * 2017-01-13 2019-06-04 Varian Semiconductor Equipment Associates, Inc. Multiple patterning approach using ion implantation
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW372337B (en) * 1997-03-31 1999-10-21 Mitsubishi Electric Corp Material for forming micropattern and manufacturing method of semiconductor using the material and semiconductor apparatus
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
DE10142590A1 (de) * 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
JP2003140362A (ja) * 2001-11-02 2003-05-14 Mitsubishi Electric Corp レジストパターンの強化方法
JP3697426B2 (ja) * 2002-04-24 2005-09-21 株式会社東芝 パターン形成方法および半導体装置の製造方法
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
JP2004179254A (ja) * 2002-11-25 2004-06-24 Renesas Technology Corp 半導体装置の製造方法
US6918624B2 (en) * 2003-10-29 2005-07-19 Ford Global Technologies, Llc Vehicle tailgate with supplemental tailgate having a flip out step
US20060154186A1 (en) * 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7947605B2 (en) * 2006-04-19 2011-05-24 Mattson Technology, Inc. Post ion implant photoresist strip using a pattern fill and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109216163A (zh) * 2017-06-29 2019-01-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法

Also Published As

Publication number Publication date
JP2008306144A (ja) 2008-12-18
US20080305443A1 (en) 2008-12-11

Similar Documents

Publication Publication Date Title
JP4427562B2 (ja) パターン形成方法
US9934971B2 (en) Method of forming an integrated circuit using a patterned mask layer
US8603884B2 (en) Methods of fabricating substrates
KR100822592B1 (ko) 반도체 소자의 미세 패턴 형성방법
KR100822621B1 (ko) 반도체 소자의 미세 패턴 형성방법
US8110340B2 (en) Method of forming a pattern of a semiconductor device
US6900002B1 (en) Antireflective bi-layer hardmask including a densified amorphous carbon layer
US20080081412A1 (en) Method of forming hardmask pattern of semiconductor device
JP2008270730A (ja) 半導体素子の微細パターン形成方法
JP5014276B2 (ja) 半導体素子の微細パターン形成方法
US8143163B2 (en) Method for forming pattern of semiconductor device
US7253113B2 (en) Methods for using a silylation technique to reduce cell pitch in semiconductor devices
US6861376B1 (en) Photoresist scum free process for via first dual damascene process
JP2009164563A (ja) 半導体素子のパターン形成方法
KR100843899B1 (ko) 반도체 소자의 제조방법
US7785997B2 (en) Method for fabricating semiconductor device
KR20090019133A (ko) 반도체 소자의 오버레이 버니어 형성 방법
KR20110077484A (ko) 반도체 디바이스의 미세 패턴 형성 방법
JP2008135649A (ja) 半導体装置の製造方法
US20230420255A1 (en) Method of manufacturing semiconductor device
TWI449085B (zh) 半導體元件的製程方法
CN117393421A (zh) 半导体器件的制造方法
JP2006186020A (ja) 半導体装置の製造方法
JP2010113261A (ja) 半導体装置の製造方法
KR100976798B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090318

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091005

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091214

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121218

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees