KR102471161B1 - 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자 - Google Patents

선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자 Download PDF

Info

Publication number
KR102471161B1
KR102471161B1 KR1020150185024A KR20150185024A KR102471161B1 KR 102471161 B1 KR102471161 B1 KR 102471161B1 KR 1020150185024 A KR1020150185024 A KR 1020150185024A KR 20150185024 A KR20150185024 A KR 20150185024A KR 102471161 B1 KR102471161 B1 KR 102471161B1
Authority
KR
South Korea
Prior art keywords
layer
exposed area
forming
photoresist
hard mask
Prior art date
Application number
KR1020150185024A
Other languages
English (en)
Other versions
KR20170075410A (ko
Inventor
고차원
김현우
조윤정
한진규
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020150185024A priority Critical patent/KR102471161B1/ko
Priority to US15/294,818 priority patent/US10319590B2/en
Publication of KR20170075410A publication Critical patent/KR20170075410A/ko
Application granted granted Critical
Publication of KR102471161B1 publication Critical patent/KR102471161B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L25/00Compositions of, homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring; Compositions of derivatives of such polymers
    • C08L25/02Homopolymers or copolymers of hydrocarbons
    • C08L25/04Homopolymers or copolymers of styrene
    • C08L25/06Polystyrene
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Electromagnetism (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

선택적 증착 층을 이용한 미세 패턴 형성 방법에 관한 것이다. 기판 상에 피 식각 층을 형성한다. 상기 피 식각 층 상에 포토레지스트 층을 형성한다. 상기 포토레지스트 층 내에 비-노광 영역을 한정하는 노광 영역을 형성한다. 상기 노광 영역 상에 선택적 증착 공정을 이용하여 하드마스크 층을 형성한다. 상기 하드마스크 층을 식각마스크로 사용하고 상기 포토레지스트 층을 부분적으로 제거하여 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴을 식각마스크로 사용하고 상기 피 식각 층을 식각하여 미세 패턴을 형성한다.

Description

선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자{Method of forming semiconductor device using selective deposition layer and related device}
선택적 증착 층을 이용한 미세 패턴 형성 방법에 관한 것이다.
반도체 소자의 고집적화에 따라 미세 패턴의 크기는 점점 작아지고 있다. 미세 패턴의 형성을 위하여 포토레지스트 패턴의 종횡비(aspect ratio)는 증가된다. 높은 종횡비를 갖는 포토레지스트 패턴은 쓰러짐 불량에 매우 취약하다. 높은 종횡비를 갖는 포토레지스트 패턴은 현상 공정을 어렵게 한다.
본 발명이 해결하고자 하는 과제는, 미세 패턴을 갖는 반도체 소자 형성 방법을 제공하는 데 있다.
본 발명이 해결하고자 하는 다양한 과제들은 이상에서 언급한 과제들에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당 업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 달성하기 위하여 본 발명 기술적 사상의 실시 예들은, 반도체 소자 형성 방법을 제공한다. 이 방법은 기판 상에 피 식각 층을 형성하는 것을 포함한다. 상기 피 식각 층 상에 포토레지스트 층을 형성한다. 상기 포토레지스트 층 내에 비-노광 영역을 한정하는 노광 영역을 형성한다. 상기 노광 영역 상에 선택적 증착 공정을 이용하여 하드마스크 층을 형성한다. 상기 하드마스크 층을 식각마스크로 사용하고 상기 포토레지스트 층을 부분적으로 제거하여 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴을 식각마스크로 사용하고 상기 피 식각 층을 식각하여 미세 패턴을 형성한다.
상기 포토레지스트 층은 광에 의하여 수산 기(-OH), 카르복실 산(Carboxylic acid), 또는 아민기(-NH2)가 생성될 수 있는 물질을 포함할 수 있다.
상기 포토레지스트 층은 화학식1. 보호된 폴리하이드록시 스티렌(Protected Polyhydroxy styrene; Protected PHS)을 갖는 폴리머(polymer) 또는 화학식2. 보호된 메타크릴 산(Protected Methacrylic acid)을 갖는 폴리머(polymer)를 포함할 수 있다. 화학식1 및 화학식2에서 보호기(R)은 탄소 수 1 내지 100을 함유하며 지방족 유닛(aliphatic unit), 지환족 유닛(alicyclic unit), 또는 방향족 유닛(aromatic unit)을 포함할 수 있다.
화학식1.
Figure 112015126417012-pat00001
화학식2.
Figure 112015126417012-pat00002
상기 보호기(R)은 아세탈(Acetal), 케탈(Ketal), 에테르(Ether), tert-부톡시카르보닐기(tert-butoxycarbonyl, t-BOC), 테트라하이드로피라닐기(tetrahydropyranyl), 트리메틸실릴기(trimethylsilyl), 페녹시에틸기(phenoxyethyl), 시클로헥세닐기(cyclohexenyl), tert-부톡시카르보닐메틸기(tert-butoxycarbonyl methyl), tert-부틸(tert-butyl), 아다만틸기(adamantyl), 또는 노보닐기(norbornyl)를 포함할 수 있다.
상기 포토레지스트 층은 광산 발생제(Photoacid generator; PAG)를 포함할 수 있다.
상기 하드마스크 층은 TiO 또는 HfO를 포함할 수 있다.
상기 포토레지스트 패턴을 형성하는 것은 드라이 디벨롭(dry development) 공정을 포함할 수 있다.
상기 하드마스크 층을 형성하는 것은 상기 노광 영역을 갖는 상기 포토레지스트 층 상에 TDMAT (tetrakis-dimethyl-amino-titanium; Ti[N(CH3)2]4))을 공급하고, 상기 노광 영역을 갖는 상기 포토레지스트 층 상에 O3(Ozone)를 공급하는 것을 포함할 수 있다.
상기 하드마스크 층을 형성하는 것은 상기 노광 영역을 갖는 상기 포토레지스트 층 상에 Hf[C5H4(CH3)]2(CH3)2 또는 Hf[C5H4(CH3)]2(OCH3)CH3을 공급하고, 상기 노광 영역을 갖는 상기 포토레지스트 층 상에 O3(Ozone)를 공급하는 것을 포함할 수 있다.
상기 하드마스크 층을 형성하기 전에, 상기 노광 영역 및 상기 비-노광 영역을 갖는 상기 포토레지스트 층에 노광 후 굽기(post exposure bake) 공정을 수행할 수 있다.
또한, 본 발명 기술적 사상의 실시 예들은, 반도체 소자 형성 방법을 제공한다. 이 방법은 기판 상에 피 식각 층을 형성하는 것을 포함한다. 상기 피 식각 층 상에 포토레지스트 층을 형성한다. 상기 포토레지스트 층 내에 비-노광 영역을 한정하는 노광 영역을 형성한다. 상기 비-노광 영역 상에 선택적 증착 공정을 이용하여 하드마스크 층을 형성한다. 상기 하드마스크 층을 식각마스크로 사용하고 상기 포토레지스트 층을 부분적으로 제거하여 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴을 식각마스크로 사용하고 상기 피 식각 층을 식각하여 미세 패턴을 형성한다.
상기 포토레지스트 층은 수산 기(-OH), 카르복실 산(Carboxylic acid), 또는 아민기(-NH2)를 갖는 물질을 포함할 수 있다.
상기 포토레지스트 층은 폴리하이드록시 스티렌(Polyhydroxy styrene; PHS)을 갖는 폴리머(polymer), 광산 발생제(Photoacid generator; PAG), 및 가교제(crosslinker)를 포함할 수 있다.
상기 가교제는 메틸올기, 알콕시메틸기, 및 아실옥시메틸기로 이루어진 일군에서 선택된 적어도 하나의 기로 치환된 멜라민 화합물, 구아나민 화합물, 글리콜우릴 화합물, 또는 우레아 화합물, 에폭시 화합물, 이소시아네이트 화합물, 아지드 화합물, 알케닐에테르기 의 이중 결합을 포함하는 화합물을 포함할 수 있다.
상기 포토레지스트 패턴을 형성하는 것은 드라이 디벨롭(dry development) 공정을 포함할 수 있다.
이에 더하여, 본 발명 기술적 사상의 실시 예들은, 반도체 소자 형성 방법을 제공한다. 이 방법은 기판 상에 피 식각 층을 형성하는 것을 포함한다. 상기 피 식각 층 상에 포토레지스트 층을 형성한다. 노광 공정을 이용하여 상기 포토레지스트 층 내에 제1 영역 및 상기 제1 영역과 다른 제2 영역을 형성한다. 상기 제1 영역 상에 선택적 증착 공정을 이용하여 하드마스크 층을 형성한다. 상기 하드마스크 층을 식각마스크로 사용하고 상기 포토레지스트 층을 부분적으로 제거하여 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴을 식각마스크로 사용하고 상기 피 식각 층을 식각하여 미세 패턴을 형성한다.
상기 제1 영역은 노광 영역을 포함할 수 있다. 상기 포토레지스트 층은 광에 의하여 수산 기(-OH), 카르복실 산(Carboxylic acid), 또는 아민기(-NH2)가 생성될 수 있는 물질을 포함할 수 있다.
상기 제1 영역은 비-노광 영역을 포함할 수 있다. 상기 포토레지스트 층은 수산 기(-OH), 카르복실 산(Carboxylic acid), 또는 아민기(-NH2)를 갖는 물질을 포함할 수 있다.
상기 하드마스크 층은 TiO 또는 HfO를 포함할 수 있다.
상기 포토레지스트 패턴을 형성하는 것은 드라이 디벨롭(dry development) 공정을 포함할 수 있다.
기타 실시 예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명 기술적 사상의 실시 예들에 따르면, 포토레지스트 층 상에 선택적 증착 공정을 이용하여 하드마스크 층이 형성된다. 상기 하드마스크 층을 식각마스크로 사용하고 드라이 디벨롭(dry development) 공정을 이용하여 포토레지스트 패턴을 형성한다. 상기 포토레지스트 패턴의 쓰러짐을 방지하면서 미세 패턴을 구현할 수 있다.
도 1은 본 발명 기술적 사상의 실시 예에 따른 선택적 증착 공정을 설명하기 위한 개요도 이다.
도 2 내지 도 5, 도 7a 내지 도 13은 본 발명 기술적 사상의 실시 예에 따른 반도체 소자 형성 방법을 설명하기 위한 단면도들 이다.
도 6a 내지 도 6c는 도 5의 일부분을 상세히 보여주는 확대도들 이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시 예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시 예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시 예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다.
본 명세서에서 사용된 용어는 실시 예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
하나의 소자(elements)가 다른 소자와 '접속된(connected to)' 또는 '커플링된(coupled to)' 이라고 지칭되는 것은, 다른 소자와 직접 연결 또는 커플링된 경우 또는 중간에 다른 소자를 개재한 경우를 모두 포함한다. 반면, 하나의 소자가 다른 소자와 '직접 접속된(directly connected to)' 또는 '직접 커플링된(directly coupled to)'으로 지칭되는 것은 중간에 다른 소자를 개재하지 않은 것을 나타낸다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. '및/또는'은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다.
공간적으로 상대적인 용어인 '아래(below)', '아래(beneath)', '하부(lower)', '위(above)', '상부(upper)' 등은 도면에 도시되어 있는 바와 같이 하나의 소자 또는 구성 요소들과 다른 소자 또는 구성 요소들과의 상관관계를 용이하게 기술하기 위해 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시되어 있는 방향에 더하여 사용시 또는 동작 시 소자의 서로 다른 방향을 포함하는 용어로 이해되어야 한다. 예를 들면, 도면에 도시되어 있는 소자를 뒤집을 경우, 다른 소자의 '아래(below)' 또는 '아래(beneath)'로 기술된 소자는 다른 소자의 '위(above)'에 놓여질 수 있다. 따라서, 예시적인 용어인 '아래'는 아래와 위의 방향을 모두 포함할 수 있다. 소자는 다른 방향으로도 배향될 수 있고, 이에 따라 공간적으로 상대적인 용어들은 배향에 따라 해석될 수 있다.
또한, 본 명세서에서 기술하는 실시 예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시 예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드 지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다.
명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. 따라서, 동일한 참조 부호 또는 유사한 참조 부호들은 해당 도면에서 언급 또는 설명되지 않았더라도, 다른 도면을 참조하여 설명될 수 있다. 또한, 참조 부호가 표시되지 않았더라도, 다른 도면들을 참조하여 설명될 수 있다.
본 명세서에서 '전면(front side)'과 '후면(back side)'는 본 발명의 기술적 사상을 이해하기 쉽도록 설명하기 위하여 상대적인 개념으로 사용된 것이다. 따라서, '전면'과 '후면'은 특정한 방향, 위치 또는 구성 요소를 지칭하는 것이 아니고 서로 호환될 수 있다. 예를 들어, '전면'이 '후면'이라고 해석될 수도 있고 '후면'이 '전면'으로 해석될 수도 있다. 따라서, '전면'을 '제1'이라고 표현하고 '후면'을 '제2'라고 표현할 수도 있고, '후면'을 '제1'로 표현하고 '전면'을 '제2'라고 표현할 수도 있다. 그러나, 하나의 실시 예 내에서는 '전면'과 '후면'이 혼용되지 않는다.
본 명세서에서 '가깝다(near)'라는 표현은 대칭적 개념을 갖는 둘 이상의 구성 요소들 중 어느 하나가 다른 특정한 구성 요소에 대해 상대적으로 가깝게 위치하는 것을 의미한다. 예를 들어, 제1 단부(first end)가 제1 면(first side)에 가깝다는 표현은 제1 단부가 제2 단부보다 제1 면에 더 가깝다는 의미이거나, 제1 단부가 제2 면보다 제1 면에 더 가깝다는 의미로 이해될 수 있다.
도 1은 본 발명 기술적 사상의 실시 예에 따른 선택적 증착 공정을 설명하기 위한 개요도 이다.
도 1을 참조하면, 수산 기(-OH)를 갖는 노광 영역(35A) 또는 비-노광 영역(135B)의 표면을 N2 또는 Ar을 이용하여 클리닝(cleaning)할 수 있다. 상기 노광 영역(35A) 또는 비-노광 영역(135B)의 표면에 TDMAT (tetrakis-dimethyl-amino-titanium; Ti[N(CH3)2]4)) 을 공급할 수 있다. 상기 노광 영역(35A) 또는 비-노광 영역(135B)의 표면에 수산 기(-OH) 및 TDMAT가 반응하여 Ti가 선택적으로 증착될 수 있다. Ti가 선택적으로 증착된 상기 노광 영역(35A) 또는 비-노광 영역(135B) 상에 O3(Ozone)가 공급될 수 있다. Ti은 O와 결합되어 TiO가 형성될 수 있다. 상기 클리닝(cleaning) 하는 것, 상기 TDMAT을 공급 하는 것, 및 상기 O3(Ozone)를 공급 하는 것을 반복적으로 수행하여 상기 노광 영역(35A) 또는 비-노광 영역(135B)의 표면에 선택적으로 TiO층이 형성될 수 있다. 상기 TiO층의 형성에는 사이클릭 증착(cyclic deposition) 공정 또는 원자 층 증착(atomic layer deposition; ALD)공정이 적용될 수 있다.
도 2 내지 도 5 및 도 7a 내지 도 8은 본 발명 기술적 사상의 실시 예에 따른 반도체 소자 형성 방법을 설명하기 위한 단면도들 이고, 도 6a 내지 도 6c는 도 5의 일부분을 상세히 보여주는 확대도들 이다.
도 2를 참조하면, 기판(21) 상에 피 식각 층(23)이 형성될 수 있다.
상기 기판(21)은 실리콘 웨이퍼 또는 에스오아이(silicon on insulator; SOI)웨이퍼와 같은 반도체 기판일 수 있다. 상기 피 식각 층(23)은 단일 층 또는 멀티 층일 수 있다. 상기 피 식각 층(23)은 금속, 반도체, 산화물, 질화물, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 이들의 조합을 포함할 수 있다. 예를 들면, 상기 피 식각 층(23)은 SOH(spin on hardmask)층 및 상기 SOH 층 상에 형성된 실리콘 산질화물 층을 포함할 수 있다. 상기 피 식각 층(23)의 상부 표면은 평탄화될 수 있다.
일 실시 예에서, 상기 기판(21)의 내부와 상기 기판(21) 및 상기 피 식각 층(23) 사이에 다수의 능동/수동 소자들이 형성될 수 있으나 간략한 설명을 위하여 생략하기로 한다.
도 3을 참조하면, 상기 피 식각 층(23) 상에 반사 방지 층(anti-reflective coating; ARC; 32)이 형성될 수 있다. 상기 반사 방지 층(ARC; 32) 상에 제1 포토레지스트 층(35)이 형성될 수 있다. 소프트베이크(softbake) 공정을 이용하여 상기 제1 포토레지스트 층(35)을 베이크(bake) 할 수 있다.
상기 반사 방지 층(ARC; 32)은 상기 피 식각 층(23)을 전면적으로 덮을 수 있다. 상기 반사 방지 층(ARC; 32)은 상기 피 식각 층(23)의 상부 표면에 직접적으로 접촉될 수 있다. 상기 반사 방지 층(ARC; 32)은 유기물질 또는 실리콘을 포함할 수 있다.
상기 제1 포토레지스트 층(35)은 상기 피 식각 층(23) 상을 전면적으로 덮을 수 있다. 상기 반사 방지 층(ARC; 32)은 상기 제1 포토레지스트 층(35) 및 상기 피 식각 층(23) 사이에 개재될 수 있다. 상기 제1 포토레지스트 층(35)은 광에 의하여 수산 기(-OH), 카르복실 산(Carboxylic acid), 또는 아민기(-NH2)가 생성될 수 있는 물질을 포함할 수 있다. 상기 제1 포토레지스트 층(35)은 광산 발생제(Photoacid generator; PAG)를 포함할 수 있다.
예를 들면, 상기 제1 포토레지스트 층(35)은 화학식1. 보호된 폴리하이드록시 스티렌(Protected Polyhydroxy styrene; Protected PHS)을 갖는 폴리머(polymer) 또는 화학식2. 보호된 메타크릴 산(Protected Methacrylic acid)을 갖는 폴리머(polymer)를 포함할 수 있다.
화학식1. 보호된 폴리하이드록시 스티렌(Protected Polyhydroxy styrene; Protected PHS)를 갖는 폴리머(polymer)
Figure 112015126417012-pat00003
화학식2. 보호된 메타크릴 산 (Protected Methacrylic acid)를 갖는 폴리머(polymer)
Figure 112015126417012-pat00004
화학식1 및 화학식2에서 보호기(R)은 탄소 수 1 내지 100을 함유하며 지방족 유닛(aliphatic unit), 지환족 유닛(alicyclic unit), 또는 방향족 유닛(aromatic unit)을 포함할 수 있다.
예를 들면, 화학식1 및 화학식2에서 보호기(R)은 아세탈(Acetal), 케탈(Ketal), 에테르(Ether), tert-부톡시카르보닐기(tert-butoxycarbonyl, t-BOC), 테트라하이드로피라닐기(tetrahydropyranyl), 트리메틸실릴기(trimethylsilyl), 페녹시에틸기(phenoxyethyl), 시클로헥세닐기(cyclohexenyl), tert-부톡시카르보닐메틸기(tert-butoxycarbonyl methyl), tert-부틸(tert-butyl), 아다만틸기(adamantyl), 또는 노보닐기(norbornyl)를 포함할 수 있다.
상기 광산 발생제(Photoacid generator; PAG)는 발색기(chromophore group)를 포함할 수 있다. 상기 광산 발생제(PAG)는 i-line, KrF 엑시머 레이저 (248 nm), ArF 엑시머 레이저 (193nm), F2 엑시머 레이저 (157nm), EUV (13.5 nm), 및 E-beam로 이루어진 일군에서 선택된 하나의 광에 노광되면 산을 발생시키는 것일 수 있다. 예를 들면, 상기 광산 발생제(PAG)는 오늄염, 할로겐 화합물, 니트로벤질 에스테르류, 알킬술포네이트류, 디아조나프토퀴논류, 이미노술포네이트류, 디술폰류, 디아조메탄류, 또는 술포닐옥시케톤류 를 포함할 수 있다.
도 4a를 참조하면, 노광 공정을 이용하여 상기 제1 포토레지스트 층(35) 내에 비-노광 영역(35B)을 한정하는 노광 영역(35A)이 형성될 수 있다. 상기 노광 영역(35A)은 상기 제1 포토레지스트 층(35) 내에 국부적으로 형성될 수 있다. 상기 노광 영역(35A) 및 상기 반사 방지 층(ARC; 32) 사이에 상기 비-노광 영역(35B)이 보존될 수 있다. 상기 노광 공정에는 제1 레티클(M1)이 적용될 수 있다. 상기 노광 공정에는 i-line, KrF 엑시머 레이저 (248 nm), ArF 엑시머 레이저 (193nm), F2 엑시머 레이저 (157nm), EUV (13.5 nm), 또는 E-beam 이 적용될 수 있다.
노광 후 굽기(PEB, Post exposure bake) 공정을 이용하여 상기 노광 영역(35A) 및 상기 비-노광 영역(35B)을 갖는 상기 제1 포토레지스트 층(35)을 베이크(bake) 할 수 있다. 상기 노광 후 굽기(PEB, Post exposure bake) 공정은 50℃ ~ 250℃에서 30초 ~ 180초간 진행될 수 있다.
일 실시 예에서, 상기 노광 공정 및 상기 노광 후 굽기(PEB, Post exposure bake) 공정이 수행되는 동안 상기 노광 영역(35A)의 표면에 선택적으로 수산 기(-OH)가 생성될 수 있다.
일 실시 예에서, 상기 노광 공정 및 상기 노광 후 굽기(PEB, Post exposure bake) 공정이 수행되는 동안 상기 노광 영역(35A)의 표면에 선택적으로 카르복실 산(Carboxylic acid)이 생성될 수 있다.
일 실시 예에서, 상기 노광 공정 및 상기 노광 후 굽기(PEB, Post exposure bake) 공정이 수행되는 동안 상기 노광 영역(35A)의 표면에 선택적으로 아민기(-NH2)가 생성될 수 있다.
도 4b를 참조하면, 노광 영역(35A)은 제1 포토레지스트 층(35)의 상부표면에서 바닥까지 완전히 관통할 수 있다. 상기 노광 영역(35A)은 상기 반사 방지 층(ARC; 32)에 직접적으로 접촉될 수 있다.
도 5를 참조하면, 상기 노광 영역(35A) 상에 선택적 증착 공정을 이용하여 하드마스크 층(46)이 형성될 수 있다. 상기 선택적 증착 공정은 원자 층 증착(atomic layer deposition; ALD) 공정 또는 사이클릭 증착(cyclic deposition) 공정을 포함할 수 있다. 상기 하드마스크 층(46)은 상기 제1 포토레지스트 층(35)과 다른 물질을 포함할 수 있다. 상기 하드마스크 층(46)은 상기 제1 포토레지스트 층(35)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 상기 하드마스크 층(46)의 두께는 상기 제1 포토레지스트 층(35)보다 얇을 수 있다. 상기 하드마스크 층(46)은 TiO 또는 HfO과 같은 금속산화물을 포함할 수 있다.
일 실시 예에서, 상기 하드마스크 층(46)은 금속질화물을 포함할 수 있다.
상기 하드마스크 층(46)은 도 1을 통하여 설명된 바와 같이 상기 노광 영역(35A) 상에 선택적으로 형성될 수 있다.
일 실시 예에서, 상기 노광 영역(35A)을 갖는 상기 제1 포토레지스트 층(35)의 표면을 N2 또는 Ar을 이용하여 클리닝(cleaning)할 수 있다. 상기 노광 영역(35A)을 갖는 상기 제1 포토레지스트 층(35)의 표면에 TDMAT (tetrakis-dimethyl-amino-titanium; Ti[N(CH3)2]4)) 을 공급할 수 있다. 상기 노광 영역(35A)의 수산 기(-OH) 및 TDMAT가 반응하여 Ti가 선택적으로 증착될 수 있다. Ti가 선택적으로 증착된 상기 노광 영역(35A) 상에 O3(Ozone)가 공급될 수 있다. Ti은 O와 결합되어 상기 노광 영역(35A) 상에 TiO가 형성될 수 있다. 상기 클리닝(cleaning) 하는 것, 상기 TDMAT을 공급 하는 것, 및 상기 O3(Ozone)를 공급 하는 것을 반복적으로 수행하여 상기 노광 영역(35A)의 표면에 선택적으로 상기 하드마스크 층(46)이 형성될 수 있다. 상기 하드마스크 층(46)은 TiO, TiO2, 또는 TiOx를 포함할 수 있다.
일 실시 예에서, 상기 노광 영역(35A)을 갖는 상기 제1 포토레지스트 층(35)의 표면을 N2 또는 Ar을 이용하여 클리닝(cleaning)할 수 있다. 상기 노광 영역(35A)을 갖는 상기 제1 포토레지스트 층(35)의 표면에 HfD-02, Bis(methylcyclopentadienyl)dimethylhafnium(IV), Hf[C5H4(CH3)]2(CH3)2 또는 HfD-04, Bis(methylcyclopentadienyl)methoxymethylhafnium(IV), Hf[C5H4(CH3)]2(OCH3)CH3을 공급할 수 있다. 상기 노광 영역(35A) 상에 Hf가 선택적으로 증착될 수 있다. Hf가 선택적으로 증착된 상기 노광 영역(35A) 상에 O3(Ozone)가 공급될 수 있다. Hf는 O와 결합되어 상기 노광 영역(35A) 상에 HfO가 형성될 수 있다. 상기 클리닝(cleaning) 하는 것, 상기 Hf[C5H4(CH3)]2(CH3)2 또는 Hf[C5H4(CH3)]2(OCH3)CH3을 공급 하는 것, 및 상기 O3(Ozone)를 공급 하는 것을 반복적으로 수행하여 상기 노광 영역(35A)의 표면에 선택적으로 상기 하드마스크 층(46)이 형성될 수 있다. 상기 하드마스크 층(46)은 HfO, 또는 HfOx를 포함할 수 있다.
도 6a를 참조하면, 상기 하드마스크 층(46)은 상기 노광 영역(35A) 상에 자기 정렬될(self aligned) 수 있다. 상기 하드마스크 층(46)은 상기 노광 영역(35A) 상에 수직 정렬될 수 있다. 상기 하드마스크 층(46)은 상기 노광 영역(35A)과 실질적으로 동일한 수평 폭을 보일 수 있다. 상기 하드마스크 층(46)의 측면은 상기 노광 영역(35A)의 측면과 실질적으로 동일 평면을 이룰 수 있다.
도 6b를 참조하면, 하드마스크 층(46A)의 상부 모서리는 둥글게 형성될 수 있다.
도 6c를 참조하면, 하드마스크 층(46B)의 수평 폭은 상기 노광 영역(35A)보다 클 수 있다. 상기 하드마스크 층(46B)의 상부 모서리는 둥글게 형성될 수 있다.
도 7a를 참조하면, 상기 하드마스크 층(46)을 식각마스크로 사용하고 드라이 디벨롭(dry development) 공정을 이용하여 제1 포토레지스트 패턴(35P)이 형성될 수 있다. 상기 제1 포토레지스트 패턴(35P)은 상기 노광 영역(35A) 및 상기 비-노광 영역(35B)을 포함할 수 있다. 상기 제1 포토레지스트 패턴(35P)은 2:1 이상의 종횡비(aspect ratio)를 보일 수 있다. 상기 제1 포토레지스트 패턴(35P)의 수직 높이는 수평 폭의 2배 내지 100배 일 수 있다.
상기 반사 방지 층(ARC; 32)은 상기 제1 포토레지스트 패턴(35P)의 하부에 보존될 수 있다.
상기 드라이 디벨롭(dry development) 공정에는 불소 베이스(Fluorine base) 또는 산소 베이스(Oxygen base)의 식각 가스가 사용될 수 있다.
도 7b를 참조하면, 제1 포토레지스트 패턴(35P)은 노광 영역(35A)을 포함할 수 있다. 상기 노광 영역(35A)은 상기 반사 방지 층(ARC; 32)에 직접적으로 접촉될 수 있다. 상기 반사 방지 층(ARC; 32)은 상기 노광 영역(35A) 및 상기 피 식각 층(23) 사이에 보존될 수 있다.
도 8을 참조하면, 상기 제1 포토레지스트 패턴(35P)을 식각마스크로 사용하고 상기 피 식각 층(23)을 식각하여 미세 패턴(23P)이 형성될 수 있다. 상기 미세 패턴(23P)의 형성에는 이방성 식각 공정이 적용될 수 있다. 상기 하드마스크 층(46), 상기 제1 포토레지스트 패턴(35P), 및 상기 반사 방지 층(ARC; 32)을 제거하여 상기 미세 패턴(23P)이 노출될 수 있다.
도 9 내지 도 13은 본 발명 기술적 사상의 실시 예에 따른 반도체 소자 형성 방법을 설명하기 위한 단면도들 이다.
도 9를 참조하면, 기판(21) 상에 피 식각 층(123), 반사 방지 층(anti-reflection coating; ARC; 132), 및 제2 포토레지스트 층(135)이 형성될 수 있다. 상기 제2 포토레지스트 층(135)은 표면에 수산 기(-OH), 카르복실 산(Carboxylic acid), 또는 아민기(-NH2)를 갖는 물질을 포함할 수 있다. 소프트베이크(softbake) 공정을 이용하여 상기 제2 포토레지스트 층(135)을 베이크(bake) 할 수 있다.
예를 들면, 상기 제2 포토레지스트 층(135)은 폴리하이드록시 스티렌(Polyhydroxy styrene; PHS)을 갖는 폴리머(polymer), 광산 발생제(Photoacid generator; PAG), 및 가교제(crosslinker)를 포함할 수 있다.
상기 광산 발생제(Photoacid generator; PAG)는 발색기(chromophore group)를 포함하며 i-line, KrF 엑시머 레이저 (248 nm), ArF 엑시머 레이저 (193nm), F2 엑시머 레이저 (157nm), EUV (13.5 nm), 및 E-beam 으로 이루어진 일군에서 선택된 하나의 광에 노광되면 산을 발생시키는 것을 포함할 수 있다. 상기 광산 발생제(Photoacid generator; PAG)는 오늄염, 할로겐 화합물, 니트로벤질 에스테르류, 알킬술포네이트류, 디아조나프토퀴논류, 이미노술포네이트류, 디술폰류, 디아조메탄류, 또는 술포닐옥시케톤류를 포함할 수 있다.
상기 가교제(crosslinker)는 말단에 이중결합을 둘 이상 갖는, 탄소 수 4 내지 50의 탄화수소 화합물을 포함할 수 있다. 상기 가교제는 메틸올기, 알콕시메틸기, 및 아실옥시메틸기로 이루어진 일군에서 선택된 적어도 하나의 기로 치환된 멜라민 화합물, 구아나민 화합물, 글리콜우릴 화합물, 또는 우레아 화합물, 에폭시 화합물, 이소시아네이트 화합물, 아지드 화합물, 알케닐에테르기 의 이중 결합을 포함하는 화합물을 포함할 수 있다. 예를 들면, 상기 가교제는 알콕시메틸글리콜우릴 또는 알콕시메틸멜라민을 포함할 수 있다.
상기 에폭시 화합물은 트리스(2,3-에폭시프로필)이소시아누레이트, 트리메틸올메탄트리글리시딜에테르, 트리메틸올프로판트리글리시딜에테르, 또는 트리에틸올에탄트리글리시딜에테르 를 포함할 수 있다.
상기 멜라민 화합물은 헥사메틸올멜라민, 헥사메톡시메틸멜라민, 헥사메틸올멜라민의 1 내지 6개의 메틸올기가 메톡시메틸화한 화합물 또는 그의 혼합물, 헥사메톡시에틸멜라민, 헥사아실옥시메틸멜라민, 헥사메틸올멜라민의 1 내지 6개의 메틸올기가 아실옥시메틸화한 화합물 또는 그의 혼합물을 포함할 수 있다.
상기 구아나민 화합물은 테트라메틸올구아나민, 테트라메톡시메틸구아나민, 테트라메틸올구아나민의 1 내지4개의 메틸올기가 메톡시메틸화한 화합물 또는 그의 혼합물, 테트라메톡시에틸구아나민, 테트라아실옥시구아나민, 테트라메틸올구아나민의 1 내지 4개의 메틸올기가 아실옥시메틸화한 화합물 또는 그의 혼합물을 포함할 수 있다.
상기 글리콜우릴 화합물은 테트라메틸올글리콜우릴, 테트라메톡시글리콜우릴, 테트라메톡시메틸글리콜우릴, 테트라메틸올글리콜우릴의 1 내지 4개의 메틸올기가 메톡시메틸화한 화합물 또는 그의 혼합물, 테트라메틸올글리콜우릴의 1 내지 4개의 메틸올기가 아실옥시메틸화한 화합물 또는 그의 혼합물을 포함할 수 있다.
상기 우레아 화합물은 테트라메틸올우레아, 테트라메톡시메틸우레아, 테트라메틸올우레아의 1 내지 4개의 메틸올기가 메톡시메틸화한 화합물 또는 그의 혼합물, 테트라메톡시에틸우레아 를 포함할 수 있다.
상기 이소시아네이트 화합물은 톨릴렌디이소시아네이트, 디페닐메탄디이소시아네이트, 헥사메틸렌디이소시아네이트, 시클로헥산디이소시아네이트 를 포함할 수 있다.
상기 아지드 화합물은 1,1'-비페닐-4,4'-비스아지드, 4,4'-메틸리덴비스아지드, 4,4'-옥시비스아지드 를 포함할 수 있다.
상기 알케닐에테르기를 포함하는 화합물은 에틸렌글리콜디비닐에테르, 트리에틸렌글리콜디비닐에테르, 1,2-프로판디올디비닐에테르, 1,4-부탄디올디비닐에테르, 테트라메틸렌글리콜디비닐에테르, 네오펜틸글리콜디비닐에테르, 트리메틸올프로판트리비닐에테르, 헥산디올디비닐에테르, 1,4-시클로헥산디올디비닐에테르, 펜타에리트리톨트리비닐에테르, 펜타에리트리톨테트라비닐에테르, 소르비톨테트라비닐에테르, 소르비톨펜타비닐에테르, 트리메틸올프로판트리비닐에테르 를 포함할 수 있다.
도 10a를 참조하면, 노광 공정을 이용하여 상기 제2 포토레지스트 층(135) 내에 비-노광 영역(135B)을 한정하는 노광 영역(135A)이 형성될 수 있다. 상기 노광 공정에는 제2 레티클(M2)이 적용될 수 있다. 상기 노광 공정에는 i-line, KrF 엑시머 레이저 (248 nm), ArF 엑시머 레이저 (193nm), F2 엑시머 레이저 (157nm), EUV (13.5 nm), 또는 E-beam 이 적용될 수 있다. 노광 후 굽기(PEB, Post exposure bake) 공정을 이용하여 상기 노광 영역(135A) 및 상기 비-노광 영역(135B)을 갖는 상기 제2 포토레지스트 층(135)을 베이크(bake) 할 수 있다. 상기 노광 후 굽기(PEB, Post exposure bake) 공정은 50℃ ~ 250℃에서 30초 ~ 180초간 진행될 수 있다.
일 실시 예에서, 상기 노광 공정 및 상기 노광 후 굽기(PEB, Post exposure bake) 공정이 수행되는 동안 상기 노광 영역(135A)의 수산 기(-OH)는 광산 발생제(Photoacid generator; PAG), 및 가교제(crosslinker)의 영향으로 제거될 수 있다. 상기 노광 공정 및 상기 노광 후 굽기(PEB, Post exposure bake) 공정이 수행된 후, 상기 비-노광 영역(135B)의 표면에 선택적으로 수산 기(-OH)가 보존될 수 있다.
일 실시 예에서, 상기 노광 공정 및 상기 노광 후 굽기(PEB, Post exposure bake) 공정이 수행된 후, 상기 비-노광 영역(135B)의 표면에 선택적으로 카르복실 산(Carboxylic acid)이 보존될 수 있다.
일 실시 예에서, 상기 노광 공정 및 상기 노광 후 굽기(PEB, Post exposure bake) 공정이 수행된 후, 상기 비-노광 영역(135B)의 표면에 선택적으로 아민기(-NH2)가 보존될 수 있다.
도 10b를 참조하면, 노광 영역(135A)은 제2 포토레지스트 층(135)의 상부표면에서 바닥까지 완전히 관통할 수 있다. 상기 노광 영역(135A)은 상기 반사 방지 층(ARC; 132)에 직접적으로 접촉될 수 있다.
도 11을 참조하면, 상기 비-노광 영역(135B) 상에 선택적 증착 공정을 이용하여 하드마스크 층(146)이 형성될 수 있다. 상기 선택적 증착 공정은 원자 층 증착(atomic layer deposition; ALD) 공정 또는 사이클릭 증착(cyclic deposition) 공정을 포함할 수 있다. 상기 하드마스크 층(146)은 상기 제2 포토레지스트 층(135)과 다른 물질을 포함할 수 있다. 상기 하드마스크 층(146)은 상기 제2 포토레지스트 층(135)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 상기 하드마스크 층(146)의 두께는 상기 제2 포토레지스트 층(135)보다 얇을 수 있다. 상기 하드마스크 층(146)은 TiO 또는 HfO과 같은 금속산화물을 포함할 수 있다.
일 실시 예에서, 상기 하드마스크 층(146)은 금속질화물을 포함할 수 있다.
상기 하드마스크 층(146)은 도 1 및 도5를 통하여 설명된 것과 유사한 방법으로 형성될 수 있다. 상기 하드마스크 층(146)은 상기 비-노광 영역(135B) 상에 선택적으로 형성될 수 있다.
도 12를 참조하면, 상기 하드마스크 층(146)을 식각마스크로 사용하고 드라이 디벨롭(dry development) 공정을 이용하여 제2 포토레지스트 패턴(135P)이 형성될 수 있다. 상기 제2 포토레지스트 패턴(135P)은 상기 비-노광 영역(135B)을 포함할 수 있다. 상기 제2 포토레지스트 패턴(135P)은 2:1 이상의 종횡비(aspect ratio)를 보일 수 있다. 상기 제2 포토레지스트 패턴(135P)의 수직 높이는 수평 폭의 2배 내지 100배 일 수 있다.
상기 반사 방지 층(ARC; 132)은 상기 제2 포토레지스트 패턴(135P)의 하부에 보존될 수 있다.
상기 드라이 디벨롭(dry development) 공정에는 불소 베이스(Fluorine base) 또는 산소 베이스(Oxygen base)의 식각 가스가 사용될 수 있다.
도 13을 참조하면, 상기 제2 포토레지스트 패턴(135P)을 식각마스크로 사용하고 상기 피 식각 층(123)을 식각하여 미세 패턴(123P)이 형성될 수 있다.
(실험 예 1)
본 발명자들은 도 1 내지 도 8을 통하여 설명된 것과 유사한 반도체 소자 형성 방법을 실험하였다.
도 2를 다시 참조하면, 기판(21) 상에 피 식각 층(23)이 형성될 수 있다. 상기 피 식각 층(23)은 약180nm두께를 갖는 SOH층 및 상기 SOH 층상에 형성된 약30nm 두께를 갖는 SiON층을 포함할 수 있다.
도 3을 다시 참조하면, 상기 피 식각 층(23) 상에 반사 방지 층(ARC; 32) 및 제1 포토레지스트 층(35)이 형성될 수 있다. 상기 제1 포토레지스트 층(35)은 약40nm 두께로 형성될 수 있다. 상기 제1 포토레지스트 층(35)은 보호된 폴리하이드록시 스티렌(Protected Polyhydroxy styrene; Protected PHS)을 갖는 폴리머(polymer) 및 광산 발생제(Photoacid generator; PAG)를 포함할 수 있다. 보호기(R)은 아다만틸기(adamantyl) 를 포함할 수 있다.
도 4a 및 도 4b를 다시 참조하면, EUV 리소그라피(lithograpy) 기술을 이용하여 상기 제1 포토레지스트 층(35) 내에 비-노광 영역(35B)을 한정하는 노광 영역(35A)이 형성될 수 있다. 상기 노광 영역(35A)의 수평 폭은 약20nm 일 수 있다. 노광 후 굽기(PEB, Post exposure bake) 공정을 이용하여 상기 노광 영역(35A) 및 상기 비-노광 영역(35B)을 갖는 상기 제1 포토레지스트 층(35)을 베이크(bake) 할 수 있다.
도 5를 다시 참조하면, 상기 노광 영역(35A) 상에 선택적 증착 공정을 이용하여 하드마스크 층(46)이 형성될 수 있다. 상기 하드마스크 층(46)은 원자 층 증착(atomic layer deposition; ALD) 공정을 이용하고, TDMAT (tetrakis-dimethyl-amino-titanium; Ti[N(CH3)2]4)) 및 O3(Ozone)를 사용할 수 있다. 상기 하드마스크 층(46)은 약5nm 두께의 TiOx를 포함할 수 있다.
도 7a및 7b를 다시 참조하면, 상기 하드마스크 층(46)을 식각마스크로 사용하고 드라이 디벨롭(dry development) 공정을 이용하여 제1 포토레지스트 패턴(35P)이 형성될 수 있다. 상기 제1 포토레지스트 패턴(35P)의 수평 폭은 약20nm일 수 있다. 상기 제1 포토레지스트 패턴(35P)의 수직 높이는 약40nm일 수 있다. 상기 반사 방지 층(ARC; 32)은 상기 제1 포토레지스트 패턴(35P)의 하부에 보존될 수 있다.
도 8을 다시 참조하면, 상기 제1 포토레지스트 패턴(35P)을 식각마스크로 사용하고 상기 피 식각 층(23)을 식각하여 미세 패턴(23P)이 형성될 수 있다.
(실험 예 2)
본 발명자들은 도 1 및 도 9 내지 도 13을 통하여 설명된 것과 유사한 반도체 소자 형성방법을 실험하였다.
도 9를 다시 참조하면, 기판(21) 상에 피 식각 층(123), 반사 방지 층(anti-reflection coating; ARC; 132), 및 제2 포토레지스트 층(135)이 형성될 수 있다. 상기 제2 포토레지스트 층(135)은 약40nm 두께로 형성될 수 있다. 상기 제2 포토레지스트 층(135)은 폴리하이드록시 스티렌(Polyhydroxy styrene; PHS)을 갖는 폴리머(polymer), 광산 발생제(Photoacid generator; PAG), 및 가교제(crosslinker)를 포함할 수 있다. 소프트베이크(softbake) 공정을 이용하여 상기 제2 포토레지스트 층(135)을 베이크(bake) 할 수 있다.
도 10a및 도 10b를 참조하면, 노광 공정을 이용하여 상기 제2 포토레지스트 층(135) 내에 비-노광 영역(135B)을 한정하는 노광 영역(135A)이 형성될 수 있다. 노광 후 굽기(PEB, Post exposure bake) 공정을 이용하여 상기 노광 영역(135A) 및 상기 비-노광 영역(135B)을 갖는 상기 제2 포토레지스트 층(135)을 베이크(bake) 할 수 있다.
도 11을 다시 참조하면, 상기 비-노광 영역(135B) 상에 선택적 증착 공정을 이용하여 하드마스크 층(146)이 형성될 수 있다. 상기 하드마스크 층(146)은 약5nm 두께의 TiOx를 포함할 수 있다.
도 12를 다시 참조하면, 상기 하드마스크 층(146)을 식각마스크로 사용하고 드라이 디벨롭(dry development) 공정을 이용하여 제2 포토레지스트 패턴(135P)이 형성될 수 있다. 상기 제2 포토레지스트 패턴(135P)의 수평 폭은 약20nm일 수 있다. 상기 제2 포토레지스트 패턴(135P)의 수직 높이는 약40nm일 수 있다.
도 13을 다시 참조하면, 상기 제2 포토레지스트 패턴(135P)을 식각마스크로 사용하고 상기 피 식각 층(123)을 식각하여 미세 패턴(123P)이 형성될 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시 예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시 예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
21: 기판
23, 123: 피 식각 층 23P, 123P: 미세 패턴
32, 132: 반사 방지 층(anti-reflective coating; ARC)
35, 135: 포토레지스트 층
35A, 135A: 노광 영역 35B, 135B: 비-노광 영역
35P, 135P: 포토레지스트 패턴
46, 46A, 46B, 146: 하드마스크 층
M1, M2: 레티클

Claims (10)

  1. 기판 상에 피 식각 층을 형성하고,
    상기 피 식각 층 상에 포토레지스트 층을 형성하고,
    상기 포토레지스트 층 내에 노광 영역과 비-노광 영역을 한정하기 위해 상기 포토레지스트 층에 선택적으로 빛을 조사하고,
    상기 노광 영역 상에는 하드마스크 층을 형성하고 상기 비-노광 영역 상에는 하드마스크 층을 형성하지 않는 선택적 증착 공정을 이용하여 상기 노광 영역과 상기 비-노광 영역을 포함하는 상기 포토레지스트 층 상에 하드마스크 층을 형성하고,
    상기 하드마스크 층을 식각마스크로 사용하고 상기 포토레지스트 층의 상기 비-노광 영역의 적어도 일부분을 제거하여 상기 노광 영역을 포함하는 포토레지스트 패턴을 형성하고,
    상기 포토레지스트 패턴을 식각마스크로 사용하고 상기 피 식각 층을 식각하여 미세 패턴을 형성하는 것을 포함하는 반도체소자 형성 방법.
  2. 제1 항에 있어서,
    상기 포토레지스트 층은 광에 의하여 수산 기(-OH), 카르복실 산(Carboxylic acid), 또는 아민기(-NH2)가 생성될 수 있는 물질을 포함하는 반도체소자 형성 방법.
  3. 제1 항에 있어서,
    상기 포토레지스트 층은 화학식1. 보호된 폴리하이드록시 스티렌(Protected Polyhydroxy styrene; Protected PHS)을 갖는 폴리머(polymer) 또는 화학식2. 보호된 메타크릴 산(Protected Methacrylic acid)을 갖는 폴리머(polymer)를 포함하되,
    화학식1 및 화학식2에서 보호기(R)은 탄소 수 1 내지 100을 함유하며 지방족 유닛(aliphatic unit), 지환족 유닛(alicyclic unit), 또는 방향족 유닛(aromatic unit)을 포함하는 반도체소자 형성 방법.
    화학식1.
    Figure 112015126417012-pat00005

    화학식2.
    Figure 112015126417012-pat00006
  4. 제3 항에 있어서,
    상기 보호기(R)은 아세탈(Acetal), 케탈(Ketal), 에테르(Ether), tert-부톡시카르보닐기(tert-butoxycarbonyl, t-BOC), 테트라하이드로피라닐기(tetrahydropyranyl), 트리메틸실릴기(trimethylsilyl), 페녹시에틸기(phenoxyethyl), 시클로헥세닐기(cyclohexenyl), tert-부톡시카르보닐메틸기(tert-butoxycarbonyl methyl), tert-부틸(tert-butyl), 아다만틸기(adamantyl), 또는 노보닐기(norbornyl)를 포함하는 반도체소자 형성 방법.
  5. 제3 항에 있어서,
    상기 포토레지스트 층은 광산 발생제(Photoacid generator; PAG)를 더 포함하는 반도체소자 형성 방법.
  6. 제1 항에 있어서,
    상기 하드마스크 층은 TiO 또는 HfO를 포함하는 반도체소자 형성 방법.
  7. 제1 항에 있어서,
    상기 포토레지스트 패턴을 형성하는 것은 드라이 디벨롭(dry development) 공정을 포함하는 반도체소자 형성 방법.
  8. 제1 항에 있어서,
    상기 하드마스크 층을 형성하는 것은
    상기 노광 영역을 갖는 상기 포토레지스트 층 상에 TDMAT (tetrakis-dimethyl-amino-titanium; Ti[N(CH3)2]4))을 공급하고,
    상기 노광 영역을 갖는 상기 포토레지스트 층 상에 O3(Ozone)를 공급하는 것을 포함하는 반도체소자 형성 방법.
  9. 기판 상에 피 식각 층을 형성하고,
    상기 피 식각 층 상에 포토레지스트 층을 형성하고,
    상기 포토레지스트 층 내에 노광 영역과 비-노광 영역을 한정하기 위해 상기 포토레지스트 층에 선택적으로 빛을 조사하고,
    상기 비-노광 영역 상에는 하드마스크 층을 형성하고 상기 노광 영역 상에는 하드마스크 층을 형성하지 않는 선택적 증착 공정을 이용하여 상기 노광 영역과 상기 비-노광 영역을 포함하는 상기 포토레지스트 층 상에 하드마스크 층을 형성하고,
    상기 하드마스크 층을 식각마스크로 사용하고 상기 포토레지스트 층의 상기 노광 영역을 제거하여 상기 비-노광 영역의 적어도 일부분을 포함하는 포토레지스트 패턴을 형성하고,
    상기 포토레지스트 패턴을 식각마스크로 사용하고 상기 피 식각 층을 식각하여 미세 패턴을 형성하는 것을 포함하며,
    상기 하드마스크 층을 형성하는 단계는 상기 포토레지스트 패턴을 형성하는 단계 이전에 수행되는 반도체소자 형성 방법.
  10. 제9 항에 있어서,
    상기 포토레지스트 층은 수산 기(-OH), 카르복실 산(Carboxylic acid), 또는 아민기(-NH2)를 갖는 물질을 포함하는 반도체소자 형성 방법.
KR1020150185024A 2015-12-23 2015-12-23 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자 KR102471161B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020150185024A KR102471161B1 (ko) 2015-12-23 2015-12-23 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자
US15/294,818 US10319590B2 (en) 2015-12-23 2016-10-17 Method of forming semiconductor device using metal-containing hardmask to pattern photoresist having protected polymer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150185024A KR102471161B1 (ko) 2015-12-23 2015-12-23 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자

Publications (2)

Publication Number Publication Date
KR20170075410A KR20170075410A (ko) 2017-07-03
KR102471161B1 true KR102471161B1 (ko) 2022-11-25

Family

ID=59088438

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150185024A KR102471161B1 (ko) 2015-12-23 2015-12-23 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자

Country Status (2)

Country Link
US (1) US10319590B2 (ko)
KR (1) KR102471161B1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10770294B2 (en) * 2018-06-22 2020-09-08 Tokyo Electron Limited Selective atomic layer deposition (ALD) of protective caps to enhance extreme ultra-violet (EUV) etch resistance
DE102021101893A1 (de) * 2020-06-18 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Verhindern eines ausgasens einer fotolackschicht
US11915931B2 (en) * 2021-08-19 2024-02-27 Tokyo Electron Limited Extreme ultraviolet lithography patterning method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014197668A (ja) * 2013-01-19 2014-10-16 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ハードマスク表面処理
US20150087150A1 (en) * 2013-09-24 2015-03-26 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor structures and fabrication method thereof
KR101667788B1 (ko) 2013-12-31 2016-10-19 제일모직 주식회사 하드마스크 조성물 및 이를 사용한 패턴 형성 방법

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5407782A (en) * 1991-09-06 1995-04-18 Kawasaki Steel Corporation Method of forming resist pattern in a multilayer resist
KR100527533B1 (ko) 2000-06-21 2005-11-09 주식회사 하이닉스반도체 Tips 공정용 포토레지스트 중합체 및 이를 함유하는포토레지스트 조성물
US20040081762A1 (en) 2001-03-26 2004-04-29 Hiroshi Tsushima Method for forming metal pattern
KR20030058629A (ko) 2001-12-31 2003-07-07 주식회사 하이닉스반도체 반도체소자의 제조방법
KR20060075889A (ko) * 2004-12-29 2006-07-04 매그나칩 반도체 유한회사 포토레지스트의 프로파일 개선 방법
KR100781876B1 (ko) 2006-12-28 2007-12-05 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20090072149A (ko) 2007-12-28 2009-07-02 주성엔지니어링(주) 금속산화물막의 패턴 제작방법 및 이를 이용한유기발광소자의 제작 방법
KR20090102217A (ko) 2008-03-25 2009-09-30 주식회사 하이닉스반도체 2층 포토레지스트용 중합체, 상기 중합체를 함유한포토레지스트 조성물 및 이를 이용한 포토레지스트 패턴의형성 방법
US8097402B2 (en) * 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
JP2010283095A (ja) 2009-06-04 2010-12-16 Hitachi Ltd 半導体装置の製造方法
KR20120026314A (ko) * 2010-09-09 2012-03-19 삼성전자주식회사 미세 패턴 형성 방법
JP5524794B2 (ja) 2010-09-29 2014-06-18 富士フイルム株式会社 レジストパターンの形成方法およびそれを利用した基板の加工方法
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8900467B1 (en) * 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
US10056256B2 (en) * 2016-03-16 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014197668A (ja) * 2013-01-19 2014-10-16 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ハードマスク表面処理
US20150087150A1 (en) * 2013-09-24 2015-03-26 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor structures and fabrication method thereof
KR101667788B1 (ko) 2013-12-31 2016-10-19 제일모직 주식회사 하드마스크 조성물 및 이를 사용한 패턴 형성 방법

Also Published As

Publication number Publication date
US20170186614A1 (en) 2017-06-29
KR20170075410A (ko) 2017-07-03
US10319590B2 (en) 2019-06-11

Similar Documents

Publication Publication Date Title
KR101967189B1 (ko) 자기 정렬 스페이서를 포함하는 기판
KR102471161B1 (ko) 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자
KR101439394B1 (ko) 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
TWI576897B (zh) 製造方法與圖案化方法
US7364829B2 (en) Resist pattern thickening material, process for forming resist pattern, and process for manufacturing semiconductor device
US9373552B2 (en) Method of calibrating or exposing a lithography tool
WO2008038602A1 (fr) Procédé de formation d'un motif
US11387104B2 (en) Grafting design for pattern post-treatment in semiconductor manufacturing
US10394123B2 (en) Blocking layer material composition and methods thereof in semiconductor manufacturing
US7943521B2 (en) Method for patterning a semiconductor device
KR102122461B1 (ko) 반도체 소자의 미세 패턴 형성 방법
KR20150131940A (ko) 포토레지스트 및 방법
US6613499B2 (en) Development method for manufacturing semiconductors
KR101096194B1 (ko) 반도체 소자의 패턴 형성 방법
CN106631923B (zh) 热酸产生剂以及光致抗蚀剂图案修整组合物和方法
US20140256146A1 (en) Method and Structure to Improve Process Window for Lithography
CN106449378B (zh) 一种改善高深宽比光刻胶形貌的结构和方法
US20020187434A1 (en) Process for device fabrication in which the size of lithographically produced features is subsequently reduced
US7384727B2 (en) Semiconductor processing patterning methods
US6183938B1 (en) Conformal organic coatings for sidewall patterning of sublithographic structures
US20220181146A1 (en) Method of manufacturing integrated circuit device
US7504341B2 (en) Method of manufacturing a semiconductor apparatus using a substrate processing agent
US20210159087A1 (en) Method of manufacturing a semiconductor device
KR20020058310A (ko) 반도체소자의 패터닝 방법
CN117008432A (zh) 制造半导体器件的方法和半导体器件制造工具

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant