KR20150131940A - 포토레지스트 및 방법 - Google Patents

포토레지스트 및 방법 Download PDF

Info

Publication number
KR20150131940A
KR20150131940A KR1020150018589A KR20150018589A KR20150131940A KR 20150131940 A KR20150131940 A KR 20150131940A KR 1020150018589 A KR1020150018589 A KR 1020150018589A KR 20150018589 A KR20150018589 A KR 20150018589A KR 20150131940 A KR20150131940 A KR 20150131940A
Authority
KR
South Korea
Prior art keywords
photoresist
group
layer
ether
methyl
Prior art date
Application number
KR1020150018589A
Other languages
English (en)
Inventor
첸하우 우
웨이한 라이
칭유 창
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150131940A publication Critical patent/KR20150131940A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본 발명에서는 고 내에칭성 잔기에 결합된 분해성 기를 지닌 포토레지스트가 제공된다. 대안적으로, 분해성 기는 분해성 기가 중합체로부터 절단된 후 중합체에 재부착되는 재부착 기에 추가로 부착될 수 있다. 포토레지스트는 또한 가교 부위를 갖는 비이탈 모노머 및 가교제도 포함할 수 있다.

Description

포토레지스트 및 방법{PHOTORESIST AND METHOD}
우선권 주장 및 상호 참조
본 출원은 2014년 5월 16일자로 출원되고 발명의 명칭이 "포토레지스트 및 방법"인 미국 가출원 제61/994,741호를 우선권으로 주장하며, 이 출원은 본원에 참고 인용된다.
본 출원은, 현재의 출원과 동시에 출원된 대리인 문서 번호, TSM13-1765의 출원에 관한 것이며, 이 출원은 본원에 참고 인용된다.
기술분야
본 출원은 포토레지스트 및 방법에 관한 것이다.
소비자 디바이스는 소비자 요구에 대응하여 점점 소형화되고 있으며, 이들 디바이스의 개별 부품도 물론 크기가 작아지고 있다. 휴대폰, 컴퓨터 태블릿 등과 같은 디바이스의 주요 부품을 구성하는 반도체 디바이스는 반도체 디바이스 내의 개별 디바이스(예컨대, 트랜지스터, 레지스터, 커패시터 등)의 크기 감소의 중압감과 함께 점점 소형화되는 중압감을 받고 있다.
반도체 디바이스의 제조 공정에서 사용되는 하나의 구현 기술은 포토리소그래픽 물질의 사용이다. 이러한 물질은 표면에 도포되고 그 후 그 자체가 패턴화된 에너지에 노광된다. 이러한 노광은 포토리소그래픽 물질의 노광 영역의 화학 및 물리적 성질을 변형한다. 이러한 변형은, 노광되지 않은 포토리소그래픽 물질 영역에서의 변형의 결여와 함께, 다른 것의 제거 없이 한 영역을 제거하기 위해 이용될 수 있다.
그러나, 개별 디바이스의 크기가 감소함에 따라, 포토리소그래픽 처리를 위한 공정 영역은 더욱더 엄격해지고 있다. 이와 같이, 포토리소그래픽 처리 분야의 발전은 디바이스의 규모를 축소할 수 있는 기능을 유지하기 위해 필요하며, 추가의 개선은 점점 소형화하는 부품이 유지될 수 있도록 하는 원하는 설계 기준에 부합시키기 위하여 필요하다 .
본 발명의 양상은 첨부된 도면과 함께 읽을 때, 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 특징들이 일정한 비율로 도시되지 않은 것이 주목된다. 사실상, 다양한 특징의 치수는 논의의 명확성을 위해 임의로 증가 또는 감소 될 수 있다.
도 1은 몇몇 실시양태에 따른 포토레지스트 및 패턴화될 층을 갖는 기판을 도시하고;
도 2는 몇몇 실시양태에 따른 분해성 기를 갖는 포토레지스트를 도시하며;
도 3은 몇몇 실시양태에 따른 가교 부위를 갖는 포토레지스트를 도시하고;
도 4는 몇몇 실시양태에 따른 분해성 재부착 기를 갖는 포토레지스트를 도시하며;
도 5는 몇몇 실시양태에 따른 포토레지스트의 노광을 도시하고;
도 6a-6c는 몇몇 실시양태에 따른 양성자와 포토레지스트 수지가 반응하는 메커니즘을 도시하며;
도 7은 몇몇 실시양태에 따른 포토레지스트의 현상을 도시하고;
도 8은 몇몇 실시양태에 따른 현상제의 제거를 도시하며;
도 9는 하단 반사방지층 및 중간층이 포토레지스트와 함께 이용되는 또 다른 실시양태를 도시하고;
도 10은 하단 반사방지층, 중간층, 및 포토레지스트를 사용하여 패턴화될 층의 패터닝을 도시한다.
상세한 설명
하기 개시는 제공된 주제의 상이한 특징을 이행하기 위하여 많은 상이한 실시양태, 또는 실시예를 제공한다. 성분 및 배열의 구체적인 예는 본 발명을 간단히 하기 위해 하기에 기술된다. 이들은 물론 단순히 예이며 이것으로 제한하고자 하는 것은 아니다. 예를 들어, 하기의 기술에서 제2 특징 상에 또는 그 위에 제1 특징의 형성은 제1및 제2 특징이 직접 접촉으로 형성된 실시양태를 포함할 수 있고, 및 제1 및 제2 특징이 직접 접촉되지 않도록 추가의 특징이 제1및 제2 특징 사이에 형성될 수 있는 실시양태도 또한 포함할 수 있다. 또한, 본 발명은 다양한 실시양태에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순성 및 명확성의 목적을 위한 것이며, 그 자체가 논의된 다양한 실시양태 및/또는 구성 간의 관계를 지시하는 것은 아니다.
이하 도 1을 참고하면, 기판(101), 기판(101) 상의 능동 소자(103), 능동 소자(103) 상의 층간 절연(ILD) 층(105), ILD 층(105) 상의 금속화 층(107), ILD 층(105) 상의 패턴화될 층(109), 및 패턴화될 층(109) 상의 포토레지스트(111)를 갖는 반도체 디바이스(100)를 나타낸다. 기판(101)은 103 옴-미터 미만의 전기 저항을 갖는 실질적으로 도전성 또는 반 도전성일 수 있으며, 도핑 또는 도핑 되지 않은 벌크 실리콘, 또는 절연체 상 실리콘(SOI) 기판의 활성층을 포함할 수 있다. 일반적으로, SOI 기판은 실리콘, 게르마늄, 실리콘 게르마늄, SOI, 절연체 상의 실리콘 게르마늄 (SGOI), 또는 그의 조합과 같은 반도체 물질의 층을 포함한다. 사용될 수 있는 기타 기판은 다층 기판, 구배 기판, 또는 하이브리드 배향 기판을 포함한다.
능동 소자(103)는 단일 트랜지스터로서 도 1에 나타낸다. 그러나, 당업자가 인식 할 것 중 하나로서, 캐퍼시터, 레지스터, 인덕터 등과 같은 광범위한 능동 소자는 반도체 디바이스(100)에 대하여 설계의 원하는 구조 및 기능 요건을 생성하기 위해 사용될 수 있다. 능동 소자(103)는 기판(101)의 표면 내에 그렇지 않으면 그 위에 임의의 적당한 방법을 사용하여 형성될 수 있다.
임의의 적당한 절연체가 어느 하나의 층을 위해 사용될 수 있지만, ILD 층(105)은 붕소 인 실리케이트 유리(BPSG)와 같은 물질을 포함할 수 있다. ILD 층(105)은 LPCVD와 같은 기타 방법이 대안적으로 사용될 수 있지만, PECVD와 같은 방법을 사용하여 형성될 수 있다. ILD 층(105)은 약 100Å 내지 약 3,000 Å의 두께로 형성될 수 있다.
금속화 층(107)은 기판(101), 능동 소자(103), 및 ILD 층(105)상에서 형성되며, 다양한 능동 소자(103)를 연결하도록 설계되어 기능 회로를 형성한다. 단일층으로서 도 1에 도시되어 있지만, 금속화 층(107)은 절연 및 도전성 물질의 교호층을 형성하며 임의의 적당한 공정(예컨대 증착, 다마신, 듀얼 다마신 등)을 통해 형성될 수 있다. 실시양태에서 ILD 층(105)에 의해 기판(101)으로부터 분리된 4층의 금속화층이 있을 수 있지만, 금속화 층(107)의 정확한 수는 반도체 디바이스(100)의 설계에 의존한다.
패턴화될 층(109) 또는 포토레지스트(111)을 사용하여 처리된 다른 층은 금속화 층(107) 상에 형성된다. 패턴화될 층(109)은 반도체 물질의 층, 금속화 층(107)의 상위층일 수 있으며, 또는 금속화 층(107) 상에 형성된 절연층(예컨대 패시베이션 층)일 수 있다. 패턴화될 층(109)이 반도체 물질인 실시양태에서, 패턴화될 층(109)은 능동 소자, 금속화 층(107), 및 절연 물질의 개재가 없는 기판(101)일 수 있다(실시양태는 도 1에서 개별적으로 도시되지 않음).
대안적으로, 패턴화될 층(109)이 금속화층인 실시양태에서, 패턴화될 층(109)은 금속화 층을 위해 사용된 공정과 유사한 공정(예컨대, 다마신, 듀얼 다마신, 증착 등)을 사용한 도전성 물질이 형성될 수 있다. 특정 실시양태에서 패턴화될 층(109)을 위한 도전성 물질은 하나 이상의 금속, 금속 합금, 금속 질화물, 금속 황화물, 금속 셀레나이드, 금속 산화물, 또는 금속 실리사이드를 포함한다. 예를 들어, 도전성 물질은 식 MXa(식중, M은 금속이며, X는 질소, 실리콘, 셀레늄, 산소, 또는 실리콘이며, a는 0.4 내지 2.5이다)을 가질 수 있다. 특정 예는 임의의 적당한 물질이 대안적으로 이용될 수 있지만, 구리, 티탄, 알루미늄, 코발트, 루테늄, 티탄 질화물, 텅스텐 질화물(WN2), 및 탄탈륨 질화물을 포함할 수 있다.
여전히 또 다른 실시양태에서 패턴화될 층(109)은 약 1 내지 약 40의 유전 상수를 갖는 절연층이다. 이 실시양태에서 패턴화될 층은 실리콘, 금속 산화물, 또는 식 MXb(식중 M 은 금속 또는 실리콘이며, X는 질소 또는 산소이고, 및 b는 약 0.4 내지 2.5이다)의 금속 질화물을 포함한다. 특정 실시예에서 패턴화될 층(109)을 위한 절연층은 증착, 산화 등과 같은 방법을 사용하여 형성된 실리콘 산화물, 실리콘 질화물, 알루미늄 산화물, 하프늄 산화물, 란탄 산화물 등일 수 있다.
그러나, 당업자가 인식할 수 있는 바와 같이, 물질, 방법, 및 기타 상세한 설명은 실시양태에서 기술되는 반면, 이들 상세한 설명은 단지 실시양태를 설명하고자하는 것이며, 어떠한 방식으로 제한하려는 것은 아니다. 오히려, 임의의 적당한 방법에 의해 임의의 적당한 물질 및 임의의 적당한 두께로 만들어진 임의의 적당한 층이 대안적으로 사용될 수 있다. 이러한 모든 층은 완전히 실시양태의 범위 내에 포함시키고자 한다.
포토레지스트(111)는 패턴 화될 층(109)에 공급된다. 실시양태에서 포토레지스트(111)는 용매 내의 하나 이상의 광활성 화합물(PACs)과 함께 중합체 수지를 포함한다. 용매 내의 중합체 수지 및 PACs는 패턴화될 층(109)에 도포되며, 전-노광 베이크는 가열을 위해 수행하고 용매를 제거하고, 중합체 수지 및 노광을 위한 PACs를 후방에 남기기 위해 용매를 제거한다.
도 2는 포토레지스트(111)를 위해 사용될 수 있는 중합체 수지의 한 실시양태를 도시한다. 이 실시양태에서 중합체 수지는 분해성 기(도 2에서 (205)로 표시된 명칭 G2 로 나타냄)에 더 결합되거나 또는 그렇지않으면 PACs(하기에서 더 기술되는 바와 같음)에 의해 생성된 자유 라디칼, 산, 또는 염기와 혼합될 때 반응하는 하나 이상의 고 내에칭성 잔기(도 2에서 (203)으로 표시된 명칭 G1으로 나타냄)를 함유하는 탄화수소 구조(예컨대 점선 박스 내의 도 2에서 나타낸, 지환식 탄화수소 구조(201))를 포함할 수 있다. 실시양태에서 탄화수소 구조(201)는 중합체 수지의 골격 백본을 형성하는 반복 단위를 포함한다. 이러한 반복 단위는 아크릴 에스테르, 메타크릴 에스테르, 크로톤 에스테르, 비닐 에스테르, 말레산 디에스테르, 푸마르산 디에스테르, 이타콘산 디에스테르, (메트)아크릴로니트릴, (메트)아크릴아미드, 스티렌, 비닐 에테르, 이들의 조합 등을 포함할 수 있다.
탄화수소 구조(201)의 반복 단위를 위해 이용될 수 있는 특정 구조는 메틸 아크릴레이트, 에틸 아크릴레이트, n-프로필 아크릴레이트, 이소프로필 아크릴레이트, n-부틸 아크릴레이트, 이소부틸 아크릴레이트, t-부틸 아크릴레이트, n-헥실 아크릴레이트, 2-에틸헥실 아크릴레이트, 아세톡시에틸 아크릴레이트, 페닐 아크릴레이트, 2-히드록시에틸 아크릴레이트, 2-메톡시에틸 아크릴레이트, 2-에톡시에틸 아크릴레이트, 2-(2-메톡시에톡시)에틸 아크릴레이트, 시클로헥실 아크릴레이트, 벤질 아크릴레이트, 2-알킬-2-아다만틸 (메트)아크릴레이트 또는 디알킬(1-아다만틸)메틸 (메트)아크릴레이트, 메틸 메타크릴레이트, 에틸 메타크릴레이트, n-프로필 메타크릴레이트, 이소프로필 메타크릴레이트, n-부틸 메타크릴레이트, 이소부틸 메타크릴레이트, t-부틸 메타크릴레이트, n-헥실 메타크릴레이트, 2-에틸헥실 메타크릴레이트, 아세톡시에틸 메타크릴레이트, 페닐 메타크릴레이트, 2-히드록시에틸 메타크릴레이트, 2-메톡시에틸 메타크릴레이트, 2-에톡시에틸 메타크릴레이트, 2-(2-메톡시에톡시)에틸 메타크릴레이트, 시클로헥실 메타크릴레이트, 벤질 메타크릴레이트, 3-클로로-2-히드록시프로필 메타크릴레이트, 3-아세톡시-2-히드록시프로필 메타크릴레이트, 3-클로로아세톡시-2-히드록시프로필 메타크릴레이트, 부틸 크로토네이트, 헥실 크로토네이트 등을 포함한다. 비닐 에스테르의 예는 비닐 아세테이트, 비닐 프로피오네이트, 비닐 부틸레이트, 비닐 메톡시아세테이트, 비닐 벤조에이트, 디메틸 말레에이트, 디에틸 말레에이트, 디부틸 말레에이트, 디메틸 푸마레이트, 디에틸 푸마레이트, 디부틸 푸마레이트, 디메틸 이타코네이트, 디에틸 이타코네이트, 디부틸 이타코네이트, 아크릴아미드, 메틸 아크릴아미드, 에틸 아크릴아미드, 프로필 아크릴아미드, n-부틸 아크릴아미드, t-부틸 아크릴아미드, 시클로헥실 아크릴아미드, 2-메톡시에틸 아크릴아미드, 디메틸 아크릴아미드, 디에틸 아크릴아미드, 페닐 아크릴아미드, 벤질 아크릴아미드, 메타크릴아미드, 메틸 메타크릴아미드, 에틸 메타크릴아미드, 프로필 메타크릴아미드, n-부틸 메타크릴아미드, t-부틸 메타크릴아미드, 시클로헥실 메타크릴아미드, 2-메톡시에틸 메타크릴아미드, 디메틸 메타크릴아미드, 디에틸 메타크릴아미드, 페닐 메타크릴아미드, 벤질 메타크릴아미드, 메틸 비닐 에테르, 부틸 비닐 에테르, 헥실 비닐 에테르, 메톡시에틸 비닐 에테르, 디메틸아미노에틸 비닐 에테르 등을 포함한다. 스티렌의 예는 스티렌, 메틸 스티렌, 디메틸 스티렌, 트리메틸 스티렌, 에틸 스티렌, 이소프로필 스티렌, 부틸 스티렌, 메톡시 스티렌, 부톡시 스티렌, 아세톡시 스티렌, 클로로 스티렌, 디클로로 스티렌, 브로모 스티렌, 비닐 메틸 벤조에이트, α-메틸 스티렌, 말레이미드, 비닐피리딘, 비닐피롤리돈, 비닐카르바졸, 이들의 조합 등을 포함한다.
실시양태에서 탄화수소 구조(201)의 반복 단위는 또한 그것에 치환된 단환식 또는 다환식 탄화수소 구조를 가질 수 있거나, 또는 그렇지않으면 단환식 또는 다환식 탄화수소 구조는 지환식 탄화수소 구조를 형성하기 위해 반복 단위일 수 있다. 사용될 수 있는 단환식 구조의 구체적인 예는 비시클로알칸, 트리시클로알칸, 테트라시클로알칸, 시클로펜탄, 시클로헥산 등을 포함한다. 사용될 수 있는 다환식 구조의 구체적인 예는 시클로알칸, 아다만틴, 아다만틴, 노르보르난, 이소보르난, 트리시클로데칸, 테트라시클로도데칸 등을 포함한다.
실시양태에서 고 내에칭성 잔기(203)는 분해성 기(205)가 구조의 나머지로부터 절단하는 한편 탄화수소 백본(201)으로부터 절단하지 않을 화학 구조를 포함할 수 있다. 분해성 기(205)가 절단 후 후방에 잔존함에 의해, 고 내에칭성 잔기(203)는 현상 후 포토레지스트(111)의 내에칭성에 여전히 기여할 수 있다.
실시양태에서 고 내에칭성 잔기(203)는 선형, 환형, 또는 분지형 구조를 갖는 약 6 탄소 원자 초과의 벌크한 구조일 수 있다. 특정 실시양태에서 고 내에칭성 잔기(203)는 하기 구조 중의 하나를 가질 수 있다:
Figure pat00001
Figure pat00002
Figure pat00003
Figure pat00004
Figure pat00005
Figure pat00006
Figure pat00007
(식중, R1은 메틸기, 및 에틸기, 프로필기, 이소프로필기, 부틸기, 이소부틸기, 펜틸기, 및 이소펜틸기 등이며; R3는 C1 내지 C3 알킬 사슬이다.)
상기 기술된 바와 같은 R2는 하기 구조 중의 하나를 갖는다:
Figure pat00008
Figure pat00009
Figure pat00010
(식중 R4 R5는 C1 내지 C8 선형 또는 분지형 알킬 사슬 예컨대 메틸기, 및 에틸기, 프로필기, 부틸기, 펜틸기, 이소프로필기, 이소부틸기 등이며; R6은 분해성 기(205)(이미 R2 기에 부착된 것을 나타냄)이다.)
분해성 기(205)는 고 내에칭성 잔기(203)에 부착된다. 분해성 기(205)는 포토레지스트(111)의 노광에 의해 발생된 산/염기/자유 라디칼과 반응하고(도 2에 도시되지 않았지만 도 5와 관련하여 하기에서 더 설명 및 논의됨) 및 그들이 부착된 고 내에칭성 잔기(203)로부터 절단될 것이다. 이러한 절단은 수지의 용해도를 변화시킬 것이며 포토레지스트(111)(예컨대, 비노광 부분)의 또 다른 부분으로부터 포토레지스트(111)(예컨대, 노광 부분)의 한 부분을 분리하기에 적당한 현상제(도 2에 도시되지 않았지만 도 7와 관련하여 하기에서 설명 및 논의됨)를 허용할 것이다.
실시양태에서 고 내에칭성 잔기(203)에 부착된 분해성 기(205)는 하기 구조 중의 하나를 갖는다:
Figure pat00011
Figure pat00012
Figure pat00013
Figure pat00014
Figure pat00015
(식중 R10은 C1 내지 C8 선형 또는 분지형 알킬 사슬, 예컨대 메틸기, 에틸기, 프로필기, 이소프로필기, 부틸기, 이소부틸기, 펜틸기, 이소펜틸기 등이며; R7, R8 R9는 수소 또는 C1 내지 C8 선형 또는 분지형 알킬 사슬, 예컨대 메틸기, 에틸기, 프로필기, 이소프로필기, 부틸기, 이소부틸기, 펜틸기, 이소펜틸기 등이고; R11은 CH2, C2H4, 또는 C3H6 이며; 및 R12는 CH3, C2H5, OH, OCH3, OC2H5 등이다.)
실시양태에서 고 내에칭성 잔기(203) 및 분해성 기(205)는 약 1% 내지 약 10%의 탄화수소 백본 상의 로딩(탄화수소 백본 상에서 부착기를 이용할 수 있는 부위의 수)을 가질 수 있다. 그러나, 이러한 로딩은 단지 예시적인 것으로 의도된 것이고, 현재 실시양태로 제한하고자 하는 것은 아니다. 오히려, 고 내에칭성 잔기(203) 및 분해성 기(205)의 임의의 적당한 로딩은 포토레지스트(111)의 수축을 감소시키기 위한 노력의 일환으로 이용될 수 있다.
실시양태에서 중합체 수지는 중합성 수지의 다양한 성질을 개선하기 위해 도움이 되는 탄화수소 구조(201)에 부착된 기타 기를 또한 임의로 포함할 수 있다. 예를 들어, 탄화수소 구조(201)에 락톤기(도 2에서 (207)로 표시된 명칭 G3로 나타냄)의 포함은 포토레지스트(111)가 현상 된 후 라인 에지 러프니스의 양 감소를 조력하며, 이에 의해 현상하는 동안 발생하는 결함의 수를 감소시키는데 도움이 된다. 실시양태에서 락톤기(207)는, 임의의 적당한 락톤 구조가 대안적으로 락톤기(207)를 위해 사용될 수 있지만, 5 내지 7원의 고리를 포함할 수 있으며, 및 락톤기(207)는 탄화수소 백본 상에 약 30% 내지 약 70%의 로딩을 가질 수 있다.
특정 실시양태에서 락톤기(207)는 하기 구조를 포함할 수 있다:
Figure pat00016
Figure pat00017
Figure pat00018
Figure pat00019
Figure pat00020
Figure pat00021
Figure pat00022
Figure pat00023
Figure pat00024
Figure pat00025
Figure pat00026
Figure pat00027
Figure pat00028
Figure pat00029
Figure pat00030
Figure pat00031
(식중 R13은 C1-C8 알킬기, C4-C7 시클로알킬기, C1-C8 알콕시기, C2-C8 알콕시카르보닐기, 카르복실기, 할로겐 원자, 히드록실기, 시아노기, 또는 분해성 기를 나타낸다). 또한, 락톤기는 R13기를 갖지 않을 수 있거나, 또는 함께 결합한 다중 R13기를 가질 수 있으며, 여기에서 각각의 R13기는 선형 또는 환형 구조 내에서, 서로 동일하거나 상이할 수 있다.
중합체 수지는 또한 임의로 하부 구조(예컨대, 패턴화될 층(109))에 포토레지스트(111)의 접착성 향상에 조력할 수 있는 기(도 2에서 (209)로 표시된 명칭 G4로 나타냄)를 포함할 수 있다. 실시양태에서 극성기는 접착성 향상을 돕기 위하여 사용될 수 있으며, 이 실시양태에서 사용될 수 있는 극성기는 임의의 적당한 극성기가 대안적으로 이용될 수 있지만, 히드록실기, 시아노기 등을 포함한다. 실시양태에서 접착성 향상에 조력하는 기(209)는 탄화수소 백본 상에 약 20% 미만의 로딩을 가질 수 있다.
중합체 수지 내에서 요구되는 다양한 기는 그 후 결합하여 중합체 수지를 형성한다. 특정 실시양태에서, 기가 있는 다양한 모노머, 예컨대 고 내에칭성 잔기(203)에 부착된 분해성 기(205)가 있는 모노머, 접착기(209)가 있는 모노머, 및 락톤기(207)가 있는 모노머, 및 임의의 기타 원하는 모노머가 예컨대, 라디칼 중합을 사용하여 서로 중합되어, 중합체 수지를 위한 탄소 사슬 백본을 갖는 중합체 구조를 형성할 것이다.
도 3은 분해성 기(205)가 수지의 나머지로부터 절단된 후, 적어도 부분적으로 분해성 기(205)의 이탈을 방지하기 위한 가교 부위 (도 3에서 (301)로 표시된 명칭 G5로 나타냄)를 갖는 비이탈 모노머를 사용한 포토레지스트 수지의 또 다른 실시양태를 도시한다. 절단된 후, 분해성 기(205)를 포토레지스트(111)의 물리적 이탈로부터 방지함에 의해, 본 방법에서 더 큰 정밀도를 허용하는, 포토레지스트(111)의 감소된 수축이 있을 것이다.
실시양태에서 가교 부위(301)가 있는 비이탈 기는 포토레지스트(111) 내의 수지의 한 중합체를 포토레지스트(111) 내의 수지의 또 다른 중합체에 결합시키기 위하여 가교제(도 3에서 도시되지 않았지만 하기에서 더 기술됨)와 함께 작업될 것이다. 다양한 중합체의 가교에 의하여, 포토레지스트(111)의 전체 필름 밀도는 증가할 것이며, 이것은 노광 후 포토레지스트(111)의 이탈로부터 분해성 기(205)의 방지 및 트랩을 도울 것이다.
특정 실시양태에서 가교 부위(301)를 갖는 비이탈 기는 하기 구조 중의 하나를 가질 것이다:
Figure pat00032
Figure pat00033
Figure pat00034
Figure pat00035
Figure pat00036
Figure pat00037
Figure pat00038
Figure pat00039
(식중 R14는 H, OH, OCH3, OC2H5, OC3H7, OC4H9, 에폭시, CH2OCH3, CH2OC2H5, CH2OC3H7, CH2OC4H9, CH2CH3OCH3, CH2CH3OC2H5, CH2CH3OC3H7, CH2CH3OC4H9이고, R15 및 R16는 독립적으로 C1 내지 C5 알킬 사슬 예컨대 메틸, 에틸, 프로필, 부틸, 펜틸 등이며, R17은 CH2, C2H4, C3H6, CO 등이다).
가교 부위(301)가 있는 비이탈 기가 가교제(이하에서 더 기술됨)와 함께 이용되는 이 실시양태에서, 분해성 기(205)는 증가된 밀도에 의해 트랩되도록 구조화될 수 있다. 특정 실시양태에서, 분해성 기(205)는 하기 구조 중의 하나는 갖는다(상기 명시된 구조와 중복될 수 있음):
Figure pat00040
Figure pat00041
Figure pat00042
Figure pat00043
Figure pat00044
Figure pat00045
(식중 R18, R21, R22 R23은 수소, 메틸기, 에틸기, 프로필기, 이소프로필기, 부틸기, 이소부틸기, 이소펜틸기 등이며; R20은 C1 내지 C5 알킬 사슬(예컨대 메틸기, 에틸기, 프로필기, 부틸기, 펜틸기 등)이고, R24는 메틸기, 에틸기, 프로필기, 이소프로필기, 부틸기, 이소부틸기, 펜틸기, 이소펜틸기, 히드록시기, 에테르, OCH3, OCH2CH3, OCH2CH2CH3, CN 등이며; R19는 에폭시기, 알콜기, 아민기, 카르복실산기 등이다.)
실시양태에서 가교 부위(301)를 갖는 비이탈 기는 탄화수소 백본 상에서 약 10% 내지 약 50%의 로딩을 가질 수 있다. 그러나, 이러한 로딩은 단지 예시적인 것으로 의도된 것이고, 현재 실시양태로 제한하고자 하는 것은 아니다. 오히려, 가교 부위(301)를 갖는 비이탈 기의 임의의 적당한 로딩은 포토레지스트(111)의 수축을 감소시키기위한 노력의 일환으로 이용될 수 있다.
또한, 가교 부위(301)를 갖는 비이탈 기는 도 2와 관련하여 상술한 바와 같은 고 내에칭성 잔기(203) 및 분해성 기(205)가 함께 이용될 수 있다. 이들 실시양태를 함께 사용함으로 서, 수축이 더욱더 감소 될 수 있다. 이 실시양태에서 가교 부위(301)를 갖는 비이탈 기는 약 5% 내지 약 50%, 예컨대 약 30%의 로딩을 가지며, 반면 고 내에칭성 잔기(203) 및 분해성 기(205)는 약 5% 내지 약 50%, 예컨대 약 10%의 로딩을 갖는다. 그러나, 임의의 적당한 로딩이 대안적으로 이용될 수 있다.
지금 도 1로 돌아와서, 추가로, 포토레지스트(111)는 또한 하나 이상의 PACs를 포함한다. PACs는 광산 발생제, 광염기 발생제, 자유 라디칼 발생제 등과 같은 광활성 성분일 수 있으며, 및 PACs는 포지티브-작용성 또는 네거티브-작용성일 수 있다. PACs가 광산 발생제인 실시양태에서, PACs는 할로겐화 트리아진, 오늄 염, 디아조늄 염, 방향족 디아조늄 염, 포스포늄 염, 술포늄 염, 요오도늄 염, 이미드 술포네이트, 옥심 술포네이트, 디아조디술폰, 디술폰, o-니트로벤질술포네이트, 술포네이트 에스테르, 할로겐화 술포닐옥시 디카르복시이미드, 디아조디술폰, α-시아노옥시아민-술포네이트, 이미드술포네이트, 케토디아조술폰, 술포닐디아조에스테르, 1,2-디(아릴술포닐)히드라진, 니트로벤질 에스테르, 및 s-트리아진 유도체, 적당한 이들의 조합 등을 포함할 수 있다.
사용될 수 있는 광산 발생제의 구체적인 예는 α-(트리플루오로메틸술포닐옥시)-비시클로[2.2.1]헵트-5-엔-2,3-디카르복시미드(MDT), N-히드록시-나프탈이미드(DDSN), 벤조인 토실레이트, t-부틸페닐-α-(p-톨루엔술포닐옥시)-아세테이트 및 t-부틸-α-(p-톨루엔술포닐옥시)-아세테이트, 트리아릴술포늄 및 디아릴요오도늄 헥사플루오로안티모네이트, 헥사플루오로아르세네이트, 트리플루오로메탄술포네이트, 요오도늄 퍼플루오로옥탄술포네이트, N-캄포르술포닐옥시나프탈이미드, N-펜타플루오로페닐술포닐옥시나프탈이미드, 이온성 요오도늄 술포네이트 예컨대 디아릴 요오도늄(알킬 또는 아릴) 술포네이트 및 비스-(디-t-부틸페닐)요오도늄 캄파닐술포네이트, 퍼플루오로알칸술포네이트 예컨대 퍼플루오로펜탄술포네이트, 퍼플루오로옥탄술포네이트, 퍼플루오로메탄술포네이트, 아릴(예컨대, 페닐 또는 벤질) 트리플레이트 예컨대 트리페닐술포늄 트리플레이트 또는 비스-(t-부틸페닐)요오도늄 트리플레이트; 피로갈롤 유도체(예컨대, 피로갈롤의 트리메실레이트), 히드록시이미드의 트리플루오로메탄술포네이트 에스테르, α,α'-비스-술포닐-디아조메탄, 니트로-치환 벤질 알콜의 술포네이트 에스테르, 나프토퀴논-4-디아지드, 알킬 디술폰 등을 포함한다.
PACs가 자유 라디칼 발생제인 실시양태에서, PACs는 n-페닐글리신, 방향족 케톤 예컨대 벤조페논, N,N'-테트라메틸-4,4'-디아미노벤조페논, N,N'-테트라에틸-4,4'-디아미노벤조페논, 4-메톡시-4'-디메틸아미노벤조-페논, 3,3'-디메틸-4-메톡시벤조페논, p,p'-비스(디메틸아미노)벤조-페논, p,p'-비스(디에틸아미노)-벤조페논, 안트라퀴논, 2-에틸안트라퀴논, 나프타퀴논 및 페난트라퀴논, 벤조인 예컨대 벤조인, 벤조인메틸에테르, 벤조인에틸에테르, 벤조인이소프로필에테르, 벤조인-n-부틸에테르, 벤조인-페닐에테르, 메틸벤조인 및 에틸벤조인, 벤질 유도체 예컨대 디벤질, 벤질디페닐디술피드 및 벤질디메틸케탈, 아크리딘 유도체 예컨대 9-페닐아크리딘 및 1,7-비스(9-아크리디닐)헵탄, 티옥산톤 예컨대 2-클로로티옥산톤, 2-메틸티옥산톤, 2,4-디에틸티옥산톤, 2,4-디메틸티옥산톤 및 2-이소프로필티옥산톤, 아세토페논 예컨대 1,1-디클로로아세토페논, p-t-부틸디클로로-아세토페논, 2,2-디에톡시아세토페논, 2,2-디메톡시-2-페닐아세토페논, 및 2,2-디클로로-4-펜옥시아세토페논, 2,4,5-트리아릴이미다졸 이량체 예컨대 2-(o-클로로페닐)-4,5-디페닐이미다졸 이량체, 2-(o-클로로페닐)-4,5-디-(m-메톡시페닐 이미다졸 이량체, 2-(o-플루오로페닐)-4,5-디페닐이미다졸 이량체, 2-(o-메톡시페닐)-4,5-디페닐이미다졸 이량체, 2-(p-메톡시페닐)-4,5-디페닐이미다졸 이량체, 2,4-디(p-메톡시페닐)-- 5-페닐이미다졸 이량체, 2-(2,4-디메톡시페닐)-4,5-디페닐이미다졸 이량체 및 2-(p-메틸메르캅토페닐)-4,5-디페닐이미다졸 이량체, 적당한 이들의 조합 등을 포함할 수 있다.
PACs가 광염기 발생제인 실시양태에서, PACs는 사차 암모늄 디티오카르바메이트, α 아미노케톤, 옥심-우레탄 함유 분자 예컨대 디벤조페논옥심 헥사메틸렌 디우레탄, 암모늄 테트라오르가닐보레이트 염, 및 N-(2-니트로벤질옥시카르보닐) 시클릭 아민, 적당한 이들의 조합 등을 포함할 수 있다. 그러나, 당업자가 인식하는 바와 같이, 본원에서 나열된 화학 화합물은 단순히 PACs의 예를 설명하고자 하는 의도이며, 구체적으로 기술된 PACs 만으로 실시양태를 제한하고자 하는 것은 아니다. 오히려, 임의의 적당한 PAC, 예컨대 열 산 발생제가 대안적으로 이용될 수 있으며, 모든 이러한 PACs는 본 실시양태의 범주 내에서 완전히 포함시키고자 한다.
포토레지스트(111)의 개별 성분은 포토레지스트(111)의 혼합 및 배치를 조력하기 위하여 용매에 놓일 수 있다. 포토레지스트(111)의 혼합 및 배치를 조력하기 위하여, 용매는 PACs 뿐만 아니라 중합체 수지를 위해 선택된 물질을 근거로 한 부분에서 적어도 선택된다. 특히, 용매는 중합체 수지 및 PACs가 균일하게 용매에 용해되고 패턴화될 층(109) 상에서 분배될 수 있도록 선택된다.
실시양태에서 용매는 유기 용매일 수 있으며, 임의의 적당한 용매 예컨대 케톤, 알콜, 폴리알콜, 에테르, 글리콜 에테르, 시클릭 에테르, 방향족 탄화수소, 에스테르, 프로피오네이트, 락테이트, 락트산 에스테르, 알킬렌 글리콜 모노알킬 에테르, 알킬 락테이트, 알킬 알콕시프로피오네이트, 시클릭 락톤, 고리를 함유하는 모노케톤 화합물, 알킬렌 카르보네이트, 알킬 알콕시아세테이트, 알킬 피루베이트, 락테이트 에스테르, 에틸렌 글리콜 알킬 에테르 아세테이트, 디에틸렌 글리콜, 프로필렌 글리콜 알킬 에테르 아세테이트, 알킬렌 글리콜 알킬 에테르 에스테르, 알킬렌 글리콜 모노알킬 에스테르 등을 포함할 수 있다.
포토레지스트(111)를 위한 용매로서 사용될 수 있는 물질의 구체적인 예는 아세톤, 메탄올, 에탄올, 톨루엔, 크실렌, 4-히드록시-4-메틸-2-펜타톤, 테트라히드로푸란, 메틸 에틸 케톤, 시클로헥사논, 시클로헥사논, 메틸 이소아밀, 케톤, 2-헵타논, 에틸렌 글리콜, 에틸렌 글리콜 모노아세테이트, 에틸렌 글리콜 디메틸 에테르, 에틸렌 글리콜 디메틸 에테르, 에틸렌 글리콜 메틸에틸 에테르, 에틸렌 글리콜 모노에테릴 에테르, 메틸 셀로솔브 아세테이트, 에틸 셀로솔브 아세테이트, 디에틸렌 글리콜, 디에틸렌 글리콜 모노아세테이트, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 디에틸 에테르, 디에틸렌 글리콜 디메틸 에테르, 디에틸렌 글리콜 에틸메틸 에테르, 디에테릴렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 에틸 2-히드록시프로피오네이트, 메틸 2-히드록시-2-메틸프로피오네이트, 에틸 2-히드록시-2-메틸프로피오네이트, 에틸 에톡시아세테이트, 에틸 히드록시아세테이트, 메틸 2-히드록시-2-메틸부타네이트, 메틸 3-메톡시프로피오네이트, 에틸 3-메톡시프로피오네이트, 메틸 3-에톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 에틸 아세테이트, 부틸 아세테이트, n-부틸 아세테이트, 메틸 락테이트 및 에틸 락테이트, 프로필렌 글리콜, 프로필렌 글리콜 모노아세테이트, 프로필렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 프로필렌 글리콜 모노프로필 메틸 에테르 아세테이트, 프로필렌 글리콜 모노부틸 에테르 아세테이트, 프로필렌 글리콜 모노부틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르 프로피오네이트, 프로필렌 글리콜 모노에틸 에테르 프로피오네이트, 프로필렌 글리콜 메틸 에테르 아세테이트, 프로필렌 글리콜 에틸 에테르 아세테이트, 에틸렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노프로필 에테르, 프로필렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 메틸 락테이트, 에틸 락테이트, 프로필 락테이트, 및 부틸 락테이트, 에틸 3-에톡시프로피오네이트, 메틸 3-메톡시프로피오네이트, 메틸 3-에톡시프로피오네이트, 및 에틸 3-메톡시프로피오네이트, β-프로피오락톤, β-부티로락톤, γ-부티로락톤, α-메틸-γ-부티로락톤, β-메틸-γ-부티로락톤, γ-발레로락톤, γ-카프로락톤, γ-옥탄산 락톤, α-히드록시-γ-부티로락톤, 2-부타논, 3-메틸부타논, 피나콜론, 2-펜타논, 3-펜타논, 4-메틸-2-펜타논, 2-메틸-3-펜타논, 4,4-디메틸-2-펜타논, 2,4-디메틸-3-펜타논, 2,2,4,4-테트라메틸-3-펜타논, 2-헥사논, 3-헥사논, 5-메틸-3-헥사논, 2-헵타논, 3-헵타논, 4-헵타논, 2-메틸-3-헵타논, 5-메틸-3-헵타논, 2,6-디메틸-4-헵타논, 2-옥타논, 3-옥타논, 2-노나논, 3-노나논, 5-노나논, 2-데카논, 3-데카논, 4-데카논, 5-헥센-2-온, 3-펜텐-2-온, 시클로펜타논, 2-메틸시클로펜타논, 3-메틸시클로펜타논, 2,2-디메틸시클로펜타논, 2,4,4-트리메틸시클로펜타논, 시클로헥사논, 3-메틸시클로헥사논, 4-메틸시클로헥사논, 4-에틸시클로헥사논, 2,2-디메틸시클로헥사논, 2,6-디메틸시클로헥사논, 2,2,6-트리메틸시클로헥사논, 시클로헵타논, 2-메틸시클로헵타논, 3-메틸시클로헵타논, 필렌 카르보네이트, 비닐렌 카르보네이트, 에틸렌 카르보네이트, 및 부틸렌 카르보네이트, 아세테이트-2-메톡시에틸, 아세테이트-2-에톡시에틸, 아세테이트-2-(2-에톡시에톡시)에틸, 아세테이트-3-메톡시-3-메틸부틸, 아세테이트-1-메톡시-2-프로필, 디프로필렌 글리콜, 모노메틸에테르, 모노에틸에테르, 모노프로필에테르, 모노부틸에테르, 모노페일에테르, 디프로필렌 글리콜 모노아세테이트, 디옥산, 메틸 락테이트, 에틸 락테이트, 메틸 아세테이트, 에틸 아세테이트, 부틸 아세테이트, 메틸 피루베이트, 에틸 피루베이트, 프로필 피루베이트, 메틸 메톡시프로피오네이트, 에틸 에톡시프로피오네이트, n-메틸피롤리돈(NMP), 2-메톡시에틸 에테르(디글라임), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르; 에틸 락테이트 또는 메틸 락테이트, 메틸 프로포니에이트, 에틸 프로포니에이트 및 에틸 에톡시 프로포니에이트, 메틸에틸 케톤, 시클로헥사논, 2-헵타논, 이산화탄소, 시클로펜타톤, 시클로헥사논, 에틸 3-에톡시프로피오네이트, 에틸 락테이트, 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 메틸렌 셀로솔브, 부틸 아세테이트, 및 2-에톡시에탄올, N-메틸포름아미드, N,N-디메틸포름아미드, N-메틸포름아닐리드, N-메틸아세트아미드, N,N-디메틸아세트아미드, N-메틸피롤리돈, 디메틸술폭시드, 벤질 에틸 에테르, 디헥실 에테르, 아세토닐아세톤, 이소포론, 카프로산, 카프릴산, 1-옥탄올, 1-노난올, 벤질 알콜, 벤질 아세테이트, 에틸 벤조에이트, 디에틸 옥살레이트, 디에틸 말레에이트, γ-부티로락톤, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 페닐 셀로솔브 아세테이트 등을 포함한다.
그러나, 당업자가 인식하는 바와 같이, 포토레지스트(111)의 용매 성분을 위해 이용될 수 있는 물질의 예로서 상기 나열되고 기술된 물질은 단지 예시적인 것이며 실시양태로 제한하고자 하는 것은 아니다. 오히려, 중합체 수지 및 PACs를 용해할 수 있는 임의의 적당한 물질은 대안적으로 포토레지스트(111)를 혼합 및 도포하기 위해 이용될 수 있다. 이러한 모든 물질은 완전히 실시양태의 범주 내에 포함시키고자 한다.
또한, 상기 기술된 물질중 개별 물질은 포토레지스트(111)를 위한 용매로서 사용될 수 있는 한편, 대안적인 실시양태에서 상기 기술된 물질의 하나 초과가 이용될 수 있다. 예를 들어, 용매는 기술된 2 이상의 물질의 혼합물의 조합을 포함할 수 있다. 이러한 모든 조합은 완전히 실시양태의 범주 내에 포함시키고자 한다.
임의로, 가교제도 또한 포토레지스트(111)에 첨가될 수 있다. 가교제는 노광 후 포토레지스트(111) 내에서 중합체 수지와 반응하여, 레지스트 패턴 및 건식 내에칭성의 향상을 돕는 포토레지스트(111)의 가교 밀도 증가를 조력한다. 실시양태에서 가교제는 멜라민계 제제, 우레아계 제제제, 에틸렌 우레아계 제제, 프로필렌 우레아계 제제, 글리콜우릴계 제제, 히드록실기, 히드록시알킬기, 또는 이들의 조합을 갖는 지방족 시클릭 탄화수소, 지방족 시클릭 탄화수소의 산소 함유 유도체, 글리콜우릴 화합물, 에테르화 아미노 수지, 이들의 조합 등일 수 있다.
가교제로서 이용될 수 있는 물질의 구체적인 예는 테트라메틸올 글리코우릴 (TMGA) 및 그의 유도체, 멜라민, 아세토구아나민, 벤조구아나민, 우레아, 에틸렌 우레아, 또는 포름알데히드가 있는 글리콜우릴, 포름알데히드 및 저급 알콜의 조합이 있는 글리콜우릴, 헥사메톡시메틸멜라민, 비스메톡시메틸우레아, 비스메톡시메틸비스메톡시에틸렌 우레아, 테트라메톡시메틸글리콜우릴, 및 테트라부톡시메틸글리콜우릴, 모노-, 디-, 트리-, 또는 테트라-히드록시메틸화 글리콜우릴, 모노-, 디-, 트리-, 및/또는 테트라-메톡시메틸화 글리콜우릴, 모노-, 디-, 트리-, 및/또는 테트라-에톡시메틸화 글리콜우릴, 모노-, 디-, 트리-, 및/또는 테트라-프로폭시메틸화 글리콜우릴, 및 모노-, 디-, 트리-, 및/또는 테트라-부톡시메틸화 글리콜우릴, 2,3-디히드록시-5-히드록시메틸노르보르난, 2-히드록시-5,6-비스(히드록시메틸)노르보르난, 시클로헥산디메탄올, 3,4,8(또는 9)-트리히드록시트리시클로데칸, 2-메틸-2-아다만타놀, 1,4-디옥산-2,3-디올 및 1,3,5-트리히드록시시클로헥산, 테트라메톡시메틸 글리콜우릴, 메틸프로필테트라메톡시메틸 글리콜우릴, 및 메틸페닐테트라메톡시메틸글리콜우릴, 2,6-비스(히드록시메틸)p-크레솔, N-메톡시메틸- 또는 N-부톡시메틸-멜라민을 포함한다. 또한, 포름알데히드, 또는 포름알데히드 및 저급 알콜과 아미노기 함유 화합물, 예컨대 멜라민, 아세토구아나민, 벤조구아나민, 우레아, 에틸렌 우레아 및 글리콜우릴과의 반응에 의해, 및 히드록시메틸기 또는 저급 알콕시메틸기로 아미노기의 수소원자 치환에 의해 수득된 화합물, 예로 헥사메톡시메틸멜라민, 비스메톡시메틸 우레아, 비스메톡시메틸비스메톡시에틸렌 우레아, 테트라메톡시메틸 글리콜우릴 및 테트라부톡시메틸 글리콜우릴, 3-클로로-2-히드록시프로필 메타크릴레이트 및 메타크릴산의 공중합체, 3-클로로-2-히드록시프로필 메타크릴레이트 및 시클로헥실 메타크릴레이트 및 메타크릴산의 공중합체, 3-클로로-2-히드록시프로필 메타크릴레이트 및 벤질 메타크릴레이트 및 메타크릴산의 공중합체, 비스페놀 A-디(3-클로로-2-히드록시프로필)에테르, 페놀 노볼락 수지의 폴리(3-클로로-2-히드록시프로필)에테르, 펜타에리트리톨 테트라(3-클로로-2-히드록시프로필)에테르, 트리메틸올메탄 트리(3-클로로-2-히드록시프로필)에테르 페놀, 비스페놀 A-디(3-아세톡시-2-히드록시프로필)에테르, 페놀 노볼락 수지의 폴리(3-아세톡시-2-히드록시프로필)에테르, 펜타에리트리톨 테트라(3-아세톡시-2-히드록시프로필)에테르, 펜타에리트리톨 폴리(3-클로로아세톡시-2-히드록시프로필)에테르, 트리메틸올메탄 트리(3-아세톡시-2-히드록시프로필)에테르, 이들의 조합 등이다.
또한, 가교 부위(301)가 있는 비이탈 기가 가교제와 함께 이용되어 분해성 기(205)를 트랩하는 도 3과 관련하여 상기 기술된 실시양태에서, 가교제는 하기 구조 중의 하나를 갖는다:
Figure pat00046
Figure pat00047
Figure pat00048
Figure pat00049
Figure pat00050
(식중 R25는 수소, 에폭시, CH2OCH3, CH2OC2H5, CH2OC3H7, CH2OC4H9, CH2CH3OCH3, CH2CH3OC2H5, CH2CH3OC3H7, CH2CH3OC4H9이며, 및 R26은 C1 내지 C4 탄소사슬이다.)
도 4는 분해성 비이탈 기(도 4에서 점선 박스로 표시된(401)로 나타냄)가 탄화수소 백본(201)에 직접적으로 부착되지만(예컨대, 고 내에칭성 잔기(203)에 결합됨이 없이), 분해성 비이탈 기(401)는 노광 및 후-노광 베이킹 공정 동안 절단 후 가교 부위(301)와 함께 비이탈 기 중 하나로 되돌아오는 가교를 허용하는 구조를 갖는 또 다른 실시양태를 도시한다. 절단에 의해, 분해성 비이탈 기(401) 내의 분해성 기(205)는 수지의 용해도를 변화시킬 것이지만, 탄화수소 백본(201)으로의 재부착에 의해 분해성 기(205)는 중합체 수지로 잔존할 것이며, 분해성 기(205)의 제거로 인한 수축 또는 기타 손실을 방지하는 공정을 허용한다.
이 실시양태에서 분해성 비이탈 기(401)는 분해성 기(205)(도 2와 관련하여 상기에서 기술됨)와 유사할 수 있지만, 이것은 개질되어 재부착 기(명칭 G7로 표시된 (403)에 의해 도 4에 나타냄)에 결합된다. 실시양태에서 재부착 기(403)은 H, OH, OCH3, OC2H5, OC3H7, OC4H9, 에폭시, CH2OCH3, CH2OC2H5, CH2OC3H7, CH2OC4H9, CH2CH3OCH3, CH2CH3OC2H5, CH2CH3OC3H7, CH2CH3OC4H9 등이다.
중합체 수지, PACs, 용매, 및 가교제 이외에, 포토레지스트(111)는 또한 최고 해상도를 수득하는 포토레지스트(111)를 조력할 기타 다수의 첨가제도 또한 포함할 수 있다. 예를 들어, 포토레지스트(111)는 또한 도포되는 표면을 코팅하는 포토레지스트(111)의 능력 개선을 돕기 위해 계면활성제를 포함할 수 있다. 실시양태에서 계면활성제는 비이온 계면활성제, 불소화된 지방족기를 갖는 중합체, 하나 이상의 불소 원자 및/또는 하나 이상의 실리콘 원자를 함유하는 계면활성제, 폴리옥시에틸렌 알킬 에테르, 폴리옥시에틸렌 알킬 아릴 에테르, 폴리옥시에틸렌-폴리옥시프로필렌 블록 공중합체, 소르비탄 지방산 에스테르, 폴리옥시에틸렌 소르비탄 지방산 에스테르를 포함할 수 있다.
계면활성제로서 사용될 수 있는 물질의 구체적인 예로는 폴리옥시에틸렌 라우릴 에테르, 폴리옥시에틸렌 스테아릴 에테르, 폴리옥시에틸렌 세틸 에테르, 폴리옥시에틸렌 올레일 에테르, 폴리옥시에틸렌 옥틸 페놀 에테르, 폴리옥시에틸렌 노닐 페놀 에테르, 소르비탄 모노라우레이트, 소르비탄 모노팔미테이트, 소르비탄 모노스테아레이트, 소르비탄 모노올레에이트, 소르비탄 트리올레에이트, 소르비탄 트리스테아레이트, 폴리옥시에틸렌 소르비탄 모노라우레이트, 폴리옥시에틸렌 소르비탄 모노팔미테이트, 폴리옥시에틸렌 소르비탄 모노스테아레이트, 폴리옥시에틸렌 소르비탄 트리올레에이트, 폴리옥시에틸렌 소르비탄 트리스테아레이트, 폴리에틸렌 글리콜 디스테아레이트, 폴리에틸렌 글리콜 디라우레이트, 폴리에틸렌 글리콜 디라우레이트, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리옥시에틸렌스테아릴 에테르 및 폴리옥시에틸렌 세틸 에테르; 불소 함유 양이온 계면활성제, 불소 함유 비이온 계면활성제, 불소 함유 음이온 계면활성제, 양이온 계면활성제 및 음이온 계면활성제, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리옥시에틸렌 세틸 에테르, 이들의 조합 등을 포함한다.
포토레지스트(111)에 첨가될 수 있는 또 다른 첨가제는 시간이 지남에 따른 포토레지스트(111)의 안정성 개선 뿐만 아니라 레스트르 패턴 구성을 돕는 포토레지스트(111) 내에서 발생된 산/염기/자유 라디칼의 확산을 억제하기 위해 이용될 수 있는 소광제이다. 실시양태에서 소광제는 아민 예컨대 이차 저급 지방족 아민, 삼차 저급 지방족 아민 등이다. 사용될 수 있는 아민의 구체적인 예는 트리메틸아민, 디에틸아민, 트리에틸아민, 디-n-프로필아민, 트리-n-프로필아민, 트리펜틸아민, 디에탄올아민, 및 트리에탄올아민, 알칸올아민, 이들의 조합 등을 포함한다.
대안적으로, 유기산이 소광제로서 이용될 수 있다. 이용될 수 있는 유기산의 구체적인 실시양태는 말론산, 시트르산, 말산, 숙신산, 벤조산, 살리실산, 인 옥소산 및 그의 유도체 예컨대 인산 및 그의 유도체 예컨대 그의 에스테르, 예컨대 인산, 인산 디-n-부틸 에스테르 및 인산 디페닐 에스테르; 포스폰산 및 그의 유도체 예컨대 그의 에스테르, 예컨대 포스폰산, 포스폰산 디메틸 에스테르, 포스폰산 디-n-부틸 에스테르, 페닐포스폰산, 포스폰산 디페닐 에스테르, 및 포스폰산 디벤질 에스테르; 및 포스핀산 및 그의 유도체 예컨대 포스핀산 및 페닐포스핀산를 포함하는 그의 에스테르를 포함한다.
포토레지스트(111)에 첨가될 수 있는 또 다른 첨가제는 포토레지스트(111)의 노광 동안 생성된 바람직하지 않은 산의 확산 방지를 조력하는 안정화제이다. 실시양태에서 안정화제는 질소함유 화합물 예컨대 지방족 일차, 이차, 및 삼차 아민, 시클릭 아민 예컨대 피페리딘, 피롤리딘, 모르폴린, 방향족 헤테로고리 예컨대 피리딘, 피리미딘, 퓨린, 이민 예컨대 디아자비시클로운데센, 구아니딘, 이미드, 아미드 등을 포함할 수 있다. 대안적으로, 히드록시드, 페놀레이트, 카르복실레이트, 아릴 및 알킬 술포네이트, 술폰아미드 등을 포함하는 알콕시드의 암모늄, 일차, 이차, 삼차, 및 사차 알킬- 및 아릴암모늄 염을 포함하는 암모늄 염이 또한 안정화제로 사용될 수 있다. 히드록시드, 페놀레이트, 카르복실레이트, 아릴 및 알킬 술포네이트, 술폰아미드 등을 포함하는 알콕시드와 같은 음이온과 기타 헤테로시클릭 질소함유 화합물의 염 및 피리디늄 염을 포함하는 기타 양이온 질소함유 화합물도 또한 사용될 수 있다.
여전히 포토레지스트(111)에 첨가될 수 있는 또 다른 첨가제는 현상하는 동안 포토레지스트(111)의 용해 제어를 돕기 위한 용해 저해제일 수 있다. 실시양태에서 담즙-염 에스테르는 용해 저해제로서 이용될 수 있다. 이용될 수 있는 물질의 구체적인 예는 콜산(IV), 데옥시콜산(V), 리토콜산(VI), t-부틸 데옥시콜레이트(VII), t-부틸 리토콜레이트(VIII), 및 t-부틸-3-α-아세틸 리토콜레이트 (IX)를 포함한다.
포토레지스트(111)에 첨가될 수 있는 또 다른 첨가제는 가소제일 수 있다. 가소제는 포토레지스트(111) 및 하부 층(예컨대, 패턴화될 층(109))사이의 박리 및 균열을 감소시키기 위해 사용될 수 있으며, 모노머, 올리고머, 및 중합 가소제 예컨대 올리고-안폴리에틸렌글리콜 에테르, 시클로지방족 에스테르, 및 비산 반응성 스테로이드-유래 물질을 포함할 수 있다. 가소제를 위해 사용될 수 있는 물질의 구체적인 예는 디옥틸 프탈레이트, 디도데실 프탈레이트, 트리에틸렌 글리콜 디카프릴레이트, 디메틸 글리콜 프탈레이트, 트리크레실 포스페이트, 디옥틸 아디페이트, 디부틸 세바케이트, 트리아세틸 글리세린 등을 포함한다.
접착 첨가제도 또한 포토레지스트(111)가 도포 될 하부층(예컨대, 패턴화될 층(109)) 및 포토레지스트(111) 사이의 접착을 증진시키기 위하여 포토레지스트(111)에 첨가될 수 있다. 실시양태에서 접착 첨가제는 하나 이상의 반응성 치환기 예컨대 카르복실기, 메타크릴로일기, 이소시아네이트기 및/또는 에폭시기가 있는 실란 화합물을 포함한다. 접착 성분의 구체적인 예는 트리메톡시실릴 벤조산, γ-메타크릴옥시프로필 트리메톡시 실란, 비닐트리아세톡시실란, 비닐트리메톡시실란, γ-이소시아네이트프로필 트리에톡시 실란, γ-글리시독시프로필 트리메톡시 실란, β-(3,4-에폭시시클로헥실)에틸 트리메톡시 실란, 벤즈이미다졸 및 폴리벤즈이미다졸, 저급 히드록시알킬 치환 피리딘 유도체, 질소 헤테로시클릭 화합물, 우레아, 티오우레아, 유기인 화합물, 8-옥시퀴놀린, 4-히드록시프테리딘 및 유도체, 1,10-페난트롤린 및 유도체, 2,2'-비피리딘 및 유도체, 벤조트리아졸; 유기인 화합물, 페닐렌디아민 화합물, 2-아미노-1-페닐에탄올, N-페닐에탄올아민, N-에틸디에탄올아민, N-에틸에탄올아민 및 유도체, 벤조티아졸, 및 시클로헥실 고리 및 모르폴린 고리를 갖는 벤조티아졸아민 염, 3-글리시독시프로필트리메톡시실란, 3-글리시독시프로필트리에톡시실란, 3-메르캅토프로필트리메톡시실란, 3-메르캅토프로필트리에톡시실란, 3-메타크릴로일옥시프로필트리메톡시실란, 비닐 트리메톡시실란, 이들의 조합 등을 포함한다.
표면 레벨링제는 충돌하는 광이 평탄하지 않은 표면에 의해 불리하게 변형되지 않도록 하는 레벨로 포토레지스트(111)의 상단 표면을 조력하기 위하여 포토레지스트(111)에 추가로 첨가될 수 있다. 실시양태에서 표면 레벨링제는 플루오로지방족 에스테르, 히드록실 말단 플루오르화 폴리에테르, 플루오르화 에틸렌 글리콜 중합체, 실리콘, 아크릴 중합체 레벨링제, 이들의 조합 등을 포함할 수 있다.
실시양태에서 원하는 첨가제 또는 기타 제제와 함께 중합체 수지 및 PACs는 도포를 위해 용매에 첨가된다. 일단 첨가되면, 혼합물은 그후 포토레지스트(111)의 일정하지 않은 조성 또는 불균일한 혼합에 의해 야기되는 결함이 없도록 보장하기 위하여 포토레지스트(111) 전반에 걸쳐 균일한 조성을 달성하도록 혼합된다. 일단 함께 혼합하면, 포토레지스트(111)는 그의 사용 전에 저장되거나 또는 그밖에 즉시 사용될 수 있다.
일단 준비가 되면, 포토레지스트(111)는 패턴화될 층(109) 상에 포토레지스트(111)를 초기에 도포하여 이용될 수 있다. 포토레지스트(111)는 패턴화될 층(109)의 노출된 표면 상에 포토레지스트(111)가 코팅되도록 패턴화될 층(109)에 도포될 수 있으며, 공정 예컨대 스핀-온 코팅 공정, 딥 코팅 방법, 에어- 나이프 코팅 방법, 커튼 코팅 방법, 와이어-바 코팅 방법, 그라비아 코팅 방법, 라미네이션 방법, 압출 코팅 방법, 이들의 조합 등을 사용하여 도포될 수 있다. 실시양태에서 포토레지스트(111)는 약 10 nm 내지 약 300 nm, 예컨대 약 150 nm의 패턴화될 층(109)의 표면 상에서의 두께를 갖도록 도포 될 수 있다 .
포토레지스트(111)가 패턴화될 층(109)에 일단 도포되었다면, 노광 전에 포토레지스트(111)를 경화 및 건조하기 위하여 포토레지스트(111)의 프리-베이크가 수행되어 포토레지스트(111)의 도포를 마무리한다. 포토레지스트(111)의 경화 및 건조는 중합체 수지, PACs, 가교제, 및 기타 선택된 첨가제를 후방에 남기면서 용매 성분을 제거한다. 실시양태에서 프리-베이크는 정확한 온도가 포토레지스트(111)를 위해 선택된 물질에 의존하지만, 용매를 증발시키기에 적당한 온도, 예컨대 약 40℃ 내지 150℃에서 수행될 수 있다. 프리-베이크는 포토레지스트(111)를 경화 및 건조하기에 충분한 시간, 예컨대 약 10초 내지 약 5분, 예컨대 약 90초 동안 수행된다.
도 5는 포토레지스트(111) 내에서 노광 영역(501) 및 비노광 영역(503)을 형성하는 포토레지스트(111)의 노광을 도시한다. 실시양태에서 노광은 반도체 디바이스(100) 및 한번 경화 및 건조된 포토레지스트(111)를 노광을 위해 화상 디바이스(500)에 배치함으로 서 개시될 수 있다. 화상 디바이스(500)는 지지판(505), 에너지원(507), 지지판(505) 및 에너지원(507) 사이에 패턴화된 마스크(509), 및 광학계(513)를 포함할 수 있다. 실시양태에서 지지판(505)은 반도체 디바이스(100) 및 포토레지스트(111)가 배치되거나 또는 부착될 수 있으며, 지지체를 제공하고 포토레지스트(111)의 노광 동안 기판(101)을 제어하는 표면이다. 추가로, 지지판(505)은 하나 이상의 축을 따라 이동될 수 있을 뿐만 아니라, 노광 공정에 영향을 미치는 온도 구배를 방지하기 위해서 기판(101) 및 포토레지스트(111)에 원하는 가열 및 냉각을 제공한다.
실시양태에서 에너지원(507)은 PACs의 반응을 유도하기 위해서 포토레지스트(111)에 광과 같은 에너지(511)를 공급하고, 이것은 결국 중합체 수지와 반응하여 에너지(511)가 충돌하는 포토레지스트(111)의 부분을 화학적으로 변경한다. 실시양태에서 에너지(511)는 전자기 방사선, 예컨대 g-선(약 436nm의 파장을 가짐), i-선(약 365nm의 파장을 가짐), 자외 방사선, 원 자외 방사선, 극 자외 방사선, x-선, 전자빔 등일 수 있다. 에너지원(507)은 전자기 방사선의 원일 수 있으며, 및 KrF 엑시머 레이저 광(248nm의 파장을 가짐), ArF 엑시머 레이저 광(193nm의 파장을 가짐), F2 엑시머 레이저 광(157 nm의 파장을 가짐) 등일 수 있지만, 임의의 기타 적당한 에너지(511)의 원, 예컨대 수은 증기 램프, 크세논 램프, 탄소 아크 램프 등이 대안적으로 이용될 수 있다.
패턴화된 마스크(509)는 에너지(511)가 실질적으로 포토레지스트(111) 상에서 충돌하기 전에 패턴화된 에너지(515)를 형성하도록 에너지(511)의 일부를 차단하기 위하여 에너지원(507) 및 포토레지스트(111) 사이에 위치한다. 실시양태에서 패턴화된 마스크(509)는 조명될 것이 요구되지 않는 포토레지스트(111)의 일 부분에 도달되는 것으로부터 에너지(511)의 일부를 반사, 흡수, 또는 그렇지 않으면 차단하는 일련의 층(예컨대, 기판, 흡광층, 반사 방지 코팅층, 차단층 등)을 포함할 수 있다. 원하는 패턴은 원하는 형상의 조명으로 패턴화된 마스크(509)를 통해 개구부를 형성함으로 서 패턴화된 마스크(509)에서 형성될 수 있다.
광학계(사다리꼴 표시(513)에 의해 도 5에 나타냄)는 에너지원(507)을 떠나고, 패턴화된 마스크(509)에 의해 패턴화되고, 및 포토레지스트(111) 쪽으로 향함에 따라 에너지(511)를 집중, 확장, 반사, 또는 그렇지않으면 제어하기 위해 사용될 수 있다. 실시양태에서 광학계(513)는 그의 경로를 따라 에너지(511)를 제어하기 위한 하나 이상의 렌즈, 거울, 필터, 이들의 조합 등을 포함한다. 또한, 광학계(513)가 패턴화된 마스크(509) 및 포토레지스트(111) 사이에 있는 것으로 도 5에 도시되었지만, 광학계(513)의 엘리먼트(예컨대, 개별 렌즈, 거울 등)는 또한 에너지원(507)(에너지(511)가 발생되는 곳) 및 포토레지스트(111) 사이의 임의의 위치에 위치할 수 있다.
실시양태에서 포토레지스트(111)를 갖는 반도체 디바이스(100)는 지지판(505) 상에 배치된다. 일단 패턴이 반도체 디바이스(100)에 정렬된다면, 에너지원(507)은 포토레지스트(111)로 오는 중에 패턴화된 마스크(509) 및 광학계(513)를 통과하는 원하는 에너지(511) (예컨대, 광)을 생성한다. 포토레지스트(111)의 일부와 충돌하는 패턴화된 에너지(515)는 포토레지스트(111) 내에서 PACs의 반응을 유도한다. 패턴화된 에너지(515)의 PACs 흡수의 화학 반응 생성물(예컨대, 산/염기/자유 라디칼)은 그 후 중합체 수지와 반응하고, 패턴화된 마스크(509)를 통해 조명된 부분에서 포토레지스트(111)를 화학적으로 변경한다.
대안적으로, 포토레지스트(111)의 패턴화는 다중 노광을 사용할 수 있다. 예를 들어, 한 실시양태에서 포토레지스트(111)는 254 nm와 같은 에너지의 제1 파장을 사용하여 첫 번째로 노광 될 수 있다. 그 후, 제1 노광 후, 포토레지스트(111)는 193 nm와 같은 제2 파장에서 두 번째로 노광 될 수 있다. 임의의 파장의 조합과 임의의 노광의 수는 대안적으로 이용될 수 있으며, 이러한 모든 수 및 조합은 완전히 실시양태의 범주 내에 포함시키고자 한다.
도 6a는 패턴화된 에너지(515)가 193nm 광의 파장인 특정 예를 도시하며, PAC는 광산 발생제이고, 포토레지스트 수지는 고 내에칭성 잔기(203)에 초기에 결합된 분해성 기(205)를 포함한다(상기 도 2와 관련하여 기술됨). 이 실시양태에서 패턴화된 에너지(515)는 광산 발생제 상에서 충돌할 것이며, 광산 발생제는 충돌하는 패턴화된 에너지(515)를 흡수할 것이다. 이 흡수는 포토레지스트(111) 내에서 양성자(예컨대, H+ 원자)를 생성하는 광산 발생제를 개시하게 한다. 양성자가 분해성 기(205)와 충돌할 때, 양성자는 분해성 기(205)와 반응하고, 고 내에칭성 잔기(203)로부터 분해성 기(205)를 절단하며, 및 중합체 수지가 더 친수성이 되고 유기 용매(예컨대, 도 7과 관련하여 하기에서 논의된 네거티브 톤 현상제) 내에서 그의 용해도 저하를 야기하도록 중합체 수지의 성질을 변경할 것이다.
분해성 기는 그 후 포토레지스트(111)로 부터 즉시 노광 공정 동안 또는 그밖에 후-노광 베이킹 공정(하기 기술됨) 동안 탈기될 것이다. 그러나, 고 내에칭성 잔기(203)는 중합체로부터 절단되지 않을 것이다. 이와 같이, 고 내에칭성 잔기(203)는 분해성 기(205)가 절단된 후 후방에 잔류할 것이며, 포토레지스트(111)의 내에칭성은 유지될 수 있다.
도 6b는 포토레지스트(111)가 가교 부위(301)와 함께 비이탈 기가 있는 중합체 수지, 분해하지 않을 기(205)(도 3과 관련하여 상기에서 기술됨), 및 가교제 (도 6b에서 명칭 G7로 표시된 (601)을 나타냄)를 포함하는 대안적인 실시양태를 도시한다. 이 실시양태에서 노광으로부터 패턴화된 에너지(515)는 PACs와 충돌하고 및 양성자를 발생할 것이며, 이것은 그 후 분해성 기(205)(절단을 야기함) 및 또한 가교 부위(301) 및 가교제(601)가 있는 비이탈 기 양자와 함께 반응될 수 있으며, 가교제(601)가 수지 내의 중합체의 상이한 것과 결합 되도록 야기한다. 이러한 가교는 포토레지스트(111)의 밀도를 증가시킬 것이다.
또한, 발생한 가교 때문에, 현재 절단된 분해성 기(205)는 가교 중합체 내에서 트랩될 것이다. 이와 같이, 분해성 기(205)는 그렇지않으면 가질 수 있는 것으로서 포토레지스트(111)로부터 탈가스 및 탈출할 수 없다. 탈가스화를 방지하여, 포토레지스트(111)로부터 질량의 손실을 또한 방지할 수 있으며, 공정 동안 발생하는 수축 양을 감소하도록 돕는다.
그러나, 당업자가 인식하는 바와 같이, 상기 기술된 가교는 발생할 수 있는 유일한 가교는 아니다. 오히려, 중합체 수지 내의 상이한 부위가 또한 실제로 가교될 수 있을 것이다. 예를 들어, 분해 및 이탈하는 대신, 하나의 분해성 기(205)는 또 다른 분해성 기 (205), 가교 부위(301)를 갖는 또 다른 비이탈 기, 또는 또 다른 중합체 상에서 개방 카르복실산기(예컨대, 또 다른 분해성 기(205)가 절단된 후 형성됨; 예컨대, 도 6a 참조)와 반응하여 중합체를 가교할 수 있다. 또한, 중합체 백본 상의 임의의 기타 적당한 비이탈 기는, 예컨대, 중합체를 가교하기 위하여 예컨대 또 다른 비이탈 기 또는 카르복실산기와 반응할 수 있다
도 6c는 여전히 포토레지스트(111)가 분해성 재부착 기(401)(도 4와 관련하여 상기에서 기술됨)를 포함하는 여전히 또 다른 실시양태를 도시한다. 이 실시양태에서 노광으로부터 패턴화된 에너지(515)는 PACs 상에서 충돌하고, 양성자를 생성할 것이며, 이것은 그 후 탄화수소 백본(201)으로부터 절단하는, 분해성 재부착 기(401)와 반응하게 될 것이다. 그러나, 양성자는 또한 재부착 기(403)와 반응하게 될 것이고, 이것은 재부착 기(403)가 가교제로서 작용하고 및 가교 부위(301)를 갖는 하나의 비이탈 기로의 결합을 야기한다. 분해성 기가 수지로의 재부착을 야기함에 의해, 분해성 기(205)는 탈가스 및 포토레지스트(111)를 떠나지 않을 것이다. 이와 같이, 포토레지스트(111)의 수축 감소를 돕는 포토레지스트(111)로부터의 물질 손실의 전체적인 감소 및 더 작은 질량손실이 있을 것이다.
도 5로 돌아가서, 포토레지스트(111)의 노광은 침지 리토그래피 기술을 사용하여 임의로 발생할 수 있다. 이러한 기술에서 침지 매질(도 5에서 개별적으로 도시되지 않음)은 화상 디바이스(500) (및 특히 광학계(513)의 최종 렌즈 사이) 및 포토레지스트(111) 사이에 배치될 수 있다. 이 자리에서의 침지 매질을 사용하여, 포토레지스트(111)는 침지 매질을 통과하는 패턴화된 에너지(515)로 패턴화될 수 있다.
이 실시양태에서 보호층(또한 도 5에서 개별적으로 도시되지 않음)은 포토레지스트(111)와의 직접적인 접촉으로부터 매질의 침지 및 침출 또는 그렇지않으면 포토레지스트(111)에 부정적인 영향을 주는 것을 방지하기 위하여 포토레지스트(111) 상에서 형성될 수 있다. 실시양태에서 보호층은 포토레지스트(111)에 보호층이 부정적인 영향을 미치지 않도록 침지 매질이 그것을 용해하지 않고 포토레지스트(111) 내에서 불혼화성이 되도록 침지 매질 내에서 불용성이다. 또한, 보호층은 패턴화된 에너지(515)가 보호층을 통과할 수 있도록 투명하다.
실시양태에서 보호층은 보호층 용매 내에서 보호층 수지를 포함한다. 보호층 용매를 위해 사용된 물질은 보호층의 도포 및 사용 동안 포토레지스트(111)의 저하를 방지하기 위하여 보호층 용매가 포토레지스트(111)의 물질을 용해하지 말아야 하므로, 적어도 부분적으로, 포토레지스트(111)를 위해 선택된 성분에 의존한다. 실시양태에서 보호층 용매는 알콜 용매, 플루오르화 용매, 및 탄화수소 용매를 포함한다.
보호층 용매를 위해 이용될 수 있는 물질의 구체적인 예는 메탄올, 에탄올, 1-프로판올, 이소프로판올, n-프로판올, 1-부탄올, 2-부탄올, 2-메틸-2-프로판올, 3-메틸-1-부탄올, 이소부틸 알콜, t-부틸 알콜, 1-펜탄올, 2-펜탄올, 3-펜탄올, n-헥산올, 시클로헥산올, 1-헥산올, 1-헵탄올, 1-옥탄올, 2-헥산올, 2-헵탄올, 2-옥탄올, 3-헥산올, 3-헵탄올, 3-옥탄올, 4-옥탄올, 2-메틸-2-부탄올, 3-메틸-1-부탄올, 3-메틸-2-부탄올, 2-메틸-1-부탄올, 2-메틸-1-펜탄올, 2-메틸-2-펜탄올, 2-메틸-3-펜탄올, 3-메틸-1-펜탄올, 3-메틸-2-펜탄올, 3-메틸-3-펜탄올, 4-메틸-1-펜탄올, 4-메틸-2-펜탄올, 2,2,3,3,4,4-헥사플루오로-1-부탄올, 2,2,3,3,4,4,5,5-옥타플루오로-1-펜탄올, 2,2,3,3,4,4,5,5,6,6-데카플루오로-1-헥산올, 2,2,3,3,4,4-헥사플루오로-1,5-펜탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올, 2,2,3,3,4,4,5,5,6,6,7,7-도데카플루오로-1,8-디올, 2-플루오로아니솔, 2,3-디플루오로아니솔, 퍼플루오로헥산, 퍼플루오로헵탄, 퍼플루오로-2-펜타논, 퍼플루오로-2-부틸테트라히드로푸란, 퍼플루오로테트라히드로푸란, 퍼플루오로트리부틸아민, 퍼플루오로테트라펜틸아민, 톨루엔, 크실렌 및 아니솔, 및 지방족 탄화수소 용매, 예컨대 n-헵탄, n-노난, n-옥탄, n-데칸, 2-메틸헵탄, 3-메틸헵탄, 3,3-디메틸헥산, 2,3,4-트리메틸펜탄, 이들의 조합 등을 포함한다.
보호층 수지는 보호층 반복 단위를 포함할 수 있다. 실시양태에서 보호층 반복 단위는 카르복실기, 지환식 구조, 1 내지 5 탄소원자를 갖는 알킬기, 페놀기, 또는 불소 원자-함유기를 갖는 반복 탄화수소 구조가 있는 아크릴 수지 일 수 있다. 지환식 구조의 구체적인 예는 시클로헥실기, 아다만틸기, 노르보닐기, 이소보르닐기, 트리시클로데실기, 테트라시클로도데실기 등을 포함한다. 알킬기의 구체적인 예는 n-부틸기, 이소부틸기 등을 포함한다. 그러나, 임의의 적당한 보호층 수지가 대안적으로 이용될 수 있다.
보호층 조성물은 또한 접착, 표면 레벨링, 코팅 등과 같은 것을 조력하기 위하여 추가의 첨가제를 포함할 수 있다. 예를 들어, 보호층 조성물은 기타 첨가제도 또한 첨가될 수 있지만, 보호층 계면활성제를 더 포함할 수 있으며, 모든 이러한 첨가는 완전히 실시양태의 범위 내에 포함시키고자 한다. 실시양태에서 보호층 계면활성제는 알킬 양이온 계면활성제, 아미드 타입 사차 양이온 계면활성제, 에스테르 타입 사차 양이온 계면활성제, 아민 옥시드 계면활성제, 베타인 계면활성제, 알콕실레이트 계면활성제, 지방산 에스테르 계면활성제, 아미드 계면활성제, 알콜 계면활성제, 에틸렌디아민 계면활성제, 또는 불소- 및/또는 실리콘 함유 계면활성제일 수 있다.
보호층 계면활성제를 위해 사용될 수 있는 물질의 구체적인 예는 폴리옥시에틸렌 알킬 에테르, 예컨대 폴리옥시에틸렌 라우릴 에테르, 폴리옥시에틸렌 스테아릴 에테르, 폴리옥시에틸렌 세틸 에테르 및 폴리옥시에틸렌 올레일 에테르; 폴리옥시에틸렌 알킬 아릴 에테르, 예컨대 폴리옥시에틸렌 옥틸 페놀 에테르 및 폴리옥시에틸렌 노닐 페놀 에테르; 폴리옥시에틸렌-폴리옥시프로필렌 블록 공중합체; 소르비탄 지방산 에스테르, 예컨대 소르비탄 모노라우레이트, 소르비탄 모노팔미테이트, 소르비탄 모노스테아레이트, 소르비탄 모노올레에이트, 소르비탄 트리올레에이트 및 소르비탄 트리스테아레이트; 및 폴리옥시에틸렌 소르비탄 모노라우레이트, 폴리옥시에틸렌 소르비탄 모노팔미테이트, 폴리옥시에틸렌 소르비탄 모노스테아레이트, 폴리옥시에틸렌 소르비탄 트리올레에이트 및 폴리옥시에틸렌 소르비탄 트리스테아레이트를 포함한다.
포토레지스트(111) 상에 보호층을 도포하기 전에, 보호층 수지 및 원하는 첨가제는 우선 보호층 용매에 첨가하여 보호층 조성물을 형성한다. 보호층 용매는 그후 보호층 조성물이 보호층 조성물 전체에 걸쳐 일정한 농도를 갖도록 보장하기 위하여 혼합된다.
보호층 조성물이 도포할 준비가 되면, 보호층 조성물은 포토레지스트(111) 상에 도포 될 수 있다. 실시양태에서 도포는 스핀-온 코팅 공정, 딥 코팅 방법, 에어-나이프 코팅 방법, 커튼 코팅 방법, 와이어-바 코팅 방법, 그라비아 코팅 방법, 라미네이션 방법, 압출 코팅 방법, 이들의 조합 등과 같은 공정을 사용하여 수행될 수 있다. 실시양태에서 포토레지스트(111)는 약 100 nm의 포토레지스트(111)의 표면 상의 두께를 갖도로 도포될 수 있다.
보호층 조성물이 포토레지스트(111)에 도포된 후, 보호층 프리-베이크는 보호층 용매를 제거하기 위하여 수행될 수 있다. 실시양태에서 보호층 프리-베이크는 정확한 온도가 보호층 조성물을 위해 선택된 물질에 의존하지만, 보호층 용매를 증발시키기에 적당한 온도, 예컨대 약 40℃ 내지 150℃에서 수행될 수 있다. 보호층 프리-베이크는 보호층 조성물을 경화 및 건조시키기 위한 충분한 시간, 예컨대 약 10초 내지 약 5분, 예컨대 약 90초 동안 수행된다.
보호층이 포토레지스트(111)에 일단 배치되면, 포토레지스트(111) 및 보호층을 갖는 반도체 디바이스(100)는 지지판(505) 상에 배치되며, 침지 매질은 보호층 및 광학계(513) 사이에 배치될 수 있다. 실시양태에서 침지 매질은 주위 대기보다 큰 굴절률, 예컨대 1 초과의 굴절률을 갖는 액체이다. 침지 매질의 예는 임의의 적당한 매질이 대안적으로 이용될 수 있지만, 물, 오일, 글리세린, 글리세롤, 시클로알칸올 등을 포함할 수 있다.
보호층 및 광학계(513) 사이의 침지 매질의 배치가 예컨대, 에어 나이프 방법을 사용하여 수행되며, 여기에서 새로운 침지 매질은 보호층 및 광학계(513) 사이의 영역에 도포되며, 보호층으로 향하는 가압 가스를 사용하여 제어되어 배리어를 형성하고 산포로부터 침지 매질을 유지한다. 이 실시양태에서 침지 매질이 도포, 사용 및 재순환을 위해 보호층으로부터 제거되어 새로운 침지 매질이 실제 화상 공정을 위해 사용되도록한다.
그러나, 상기 기술된 에어 나이프 방법은 포토레지스트(111)가 침지 방법을 사용하여 노광 될 수 있는 유일한 방법은 아니다. 포토레지스트(111) 및 보호층과 함께 전체 기판(101)을 침지하는 것과 같은 침지 매질을 사용하여, 기체 배리어 대신에 고체 배리어를 사용하여, 또는 보호층 없이 침지 매질을 사용하여, 포토레지스트(111)의 화상을 위한 임의의 기타 적당한 방법도 또한 이용될 수 있다. 침지 매질을 통해 포토레지스트(111)를 노광하는 임의의 적당한 방법이 사용될 수 있으며, 이러한 모든 방법은 완전히 실시양태의 범주 내에 포함시키고자 한다.
포토레지스트(111)를 패턴화된 에너지(515)에 노광한 후, 후-노광 베이킹은 노광동안 PACs 상에서 패턴화된 에너지(515)의 충돌로부터 생성된 산/염기/자유 라디칼의 생성, 분산, 및 반응을 조력하기 위해 사용될 수 있다. 이러한 조력은 포토레지스트(111) 내에서 노광 영역(501) 및 비노광 영역(503) 사이에서 화학 차이를 생성하는 화학 반응을 창출 또는 증진시키도록 돕는다. 이들 화학 차이는 또한 노광 영역(501) 및 비노광 영역(503) 사이의 용해도의 차이를 야기한다. 실시양태에서 이러한 후-노광 베이킹은 약 40 초 내지 약 120초의 기간 동안 약 50℃ 내지 약 160℃의 온도에서 발생할 수 있다.
또한, 후 노광 베이킹은 산/염기/자유 라디칼을 생성, 분산 및 반응 시키는 것 이외에, 중합체의 가교를 개시 또는 향상시키기 위하여 사용될 수 있다. 이 실시양태에서 온도는 약 30초 내지 약 120 초의 시간 동안 약 70℃ 내지 약 300℃, 예컨대 약 80℃ 내지 약 150℃일 수 있다.
대안적으로, 상술한 바와 같은 단일 베이킹 공정 대신에, 후 노광 베이킹은 2-단계 베이킹 공정으로 수행될 수 있다. 이 실시양태에서 제1 단계 베이킹 공정은 약 30초 내지 약 120 초, 예컨대 약 60초의 시간 동안 약 80℃ 내지 약 120℃, 예컨대 약 110℃의 온도에서 수행될 수 있다. 제1 단계가 완료된 후, 제2 제조 공정은 약 80℃ 내지 약 120℃, 예컨대 약 90℃의 온도에서, 약 30초 내지 약 120초, 예컨대 약 60초의 시간동안 수행될 수 있다.
도 7은 포토레지스트(111)가 노광 후 현상제(701)를 사용한 포토레지스트(111)의 현상을 도시한다. 포토레지스트(111)가 노광하고 후-노광 베이킹이 발생한 후, 포토레지스트(111)는 포토레지스트(111)에 대하여 원하는 패턴에 따라 네거티브 톤 현상제 또는 포지티브 톤 현상제를 사용하여 현상 될 수 있다. 포토레지스트(111)의 비노광 영역(503)이 네거티브 톤을 형성하도록 제거되는 것이 요구되는 실시양태에서, 네거티브 톤 현상제 예컨대 유기 용매 또는 임계 유체가 패턴화된 에너지(515)에 노광되지 않았으며, 이와 같이하여, 원래의 용해도를 유지한 포토레지스트(111)의 일부분을 제거하기 위해 이용될 수 있다. 이용될 수 있는 물질의 구체적인 예는 탄화수소 용매, 알콜 용매, 에테르 용매, 에스테르 용매, 임계 유체, 이들의 조합 등을 포함한다. 네거티브 톤 용매를 위해 사용될 수 있는 물질의 구체적인 예는 헥산, 헵탄, 2-헵타논, n-부틸 아세테이트, 옥탄, 톨루엔, 크실렌, 디클로로메탄, 클로로포름, 사염화탄소, 트리클로로에틸렌, 메탄올, 에탄올, 프로판올, 부탄올, 임계 이산화탄소, 디에틸 에테르, 디프로필 에테르, 디부틸 에테르, 에틸 비닐 에테르, 디옥산, 프로필렌 옥시드, 테트라히드로푸란, 셀로솔브, 메틸 셀로솔브, 부틸 셀로솔브, 메틸 카르비톨, 디에틸렌 글리콜 모노에틸 에테르, 아세톤, 메틸 에틸 케톤, 메틸 이소부틸 케톤, 이소포론, 시클로헥사논, 메틸 아세테이트, 에틸 아세테이트, 프로필 아세테이트, 부틸 아세테이트, 피리딘, 포름아미드, N,N-디메틸 포름아미드 등을 포함한다.
포지티브 톤 현상이 요구된다면, 포지티브 톤 현상제 예컨대 염기성 수용액은 패턴화된 에너지(515)에 노광되고 화학 반응을 통해 변형 및 변화되는 그들의 용해도를 갖는 포토레지스트(111)의 일부분을 제거하기 위해 이용될 수 있다. 이러한 염기성 수용액은 테트라 메틸 암모늄 히드록시드(TMAH), 테트라 부틸 암모늄 히드록시드, 수산화나트륨, 수산화칼륨, 탄산나트륨, 중탄산나트륨, 규산나트륨, 메타규산 나트륨, 암모니아수, 모노메틸아민, 디메틸아민, 트리메틸아민, 모노에틸아민, 디에틸아민, 트리에틸아민, 모노이소프로필아민, 디이소프로필아민, 트리이소프로필아민, 모노부틸아민, 디부틸아민, 모노에탄올아민, 디에탄올아민, 트리에탄올아민, 디메틸아미노에탄올, 디에틸아미노에탄올, 암모니아, 가성 소다, 가성 칼륨, 메타규산 나트륨, 메타규산 칼륨, 탄산나트륨, 테트라에틸암모늄 히드록시드, 이들의 조합 등을 포함할 수 있다.
그러나, 당업자가 인식하는 바와 같이, 포지티브 톤 현상제 및 네거티브 톤 현상제의 상기 기술은 단지 예시적인 것으로 의도된 것이며 상기 나열된 현상제만으로 실시양태를 제한하려는 것은 아니다. 오히려, 포토레지스트(111)의 또 다른 부분과 상이한 성질(예컨대, 용해도)을 갖는 포토레지스트(111)의 부분을 선택적으로 제거하기 위하여 이용될 수 있는 산 현상제 또는 심지어 물 현상제를 포함하는 임의의 적당한 타입의 현상제가 대안적으로 이용될 수 있으며, 모든 이러한 현상제는 완전히 실시양태의 범주 내에 포함시키고자 한다.
침지 리토그래피가 포토레지스트(111)를 노광하도록 이용되고, 보호층이 침지 매질로부터 포토레지스트(111)를 보호하도록 이용되는 실시양태에서, 현상제(701)는 제거되도록 요구되는 포토레지스트(111)의 해당 부분을 제거하도록 선택될 뿐만 아니라, 동일한 현상 단계에서 보호층을 제거하도록 선택될 수 있다. 대안적으로, 보호층은 개별 공정에서, 예컨대 현상제(701)로부터 용매의 분리에 의해 또는 심지어 현상에 앞서 포토레지스트(111)로부터 보호층을 제거하기 위한 에칭 공정에서 제거될 수 있다.
도7은 예컨대, 스핀-온 공정을 사용한 포토레지스트(111)에 현상제(701)의 도포를 도시한다. 이 공정에서 현상제(701)는 반도체 디바이스(100)(및 포토레지스트(111))가 회전되면서, 포토레지스트(111) 위로부터 포토레지스트(111)에 도포된다. 실시양태에서 현상제(701)는 약 10ml/분 내지 약 2000ml/분, 예컨대 약 1000ml/분의 유속으로 공급될 수 있으며, 한편 반도체 디바이스(100)는 약 100rpm 내지 약 3500rpm, 예컨대 약 1500rpm의 속도로 회전한다. 실시양태에서 현상제(701)는 약 10℃ 내지 약 80℃, 예컨대 약 50℃의 온도일 수 있으며, 현상은 약 1분 내지 약 60분, 예컨대 약 30분 동안 계속될 수 있다.
그러나, 여기에서 기술된 스핀-온 방법은 노광 후 포토레지스트(111)를 현상하기 위한 하나의 적당한 방법이지만, 그것은 예시적인 것으로 의도된 것이며 실시양태를 제한하려는 의도는 아니다. 오히려, 딥 공정, 퍼들 공정, 스프레이-온 공정, 이들의 조합 등을 포함하는 현상을 위한 임의의 적당한 방법이 대안적으로 사용될 수 있다. 모든 이러한 현상 공정은 완전히 실시양태의 범주 내에 포함시키고자 한다.
도 7은 네거티브 톤 현상제가 이용되는 현상 공정의 횡단면을 도시한다. 도시된 바와 같이, 현상제(701)는 포토레지스트(111)에 도포되고, 포토레지스트(111)의 비노광 부분(603)을 용해한다. 포토레지스트(111)의 비노광 부분(603)의 용해 및 제거는 포토레지스트(111) 내에서 개구부를 후방에 남기고, 패턴화된 에너지(515)의 형상으로 포토레지스트(111)를 패턴화하며, 이와 같이 하여 패턴화된 마스크(509)의 패턴을 포토레지스트(111)로 전송한다.
그러나, 여기에서 기술된 실시양태를 이용하여, 질량 손실 및 수축의 양은 감소될 수 있다. 예를 들어, 여기에서 기술된 실시양태를 사용하여, 전체 필름 손실은 약 20%로 감소시킬 수 있으며, 한편 후 노광 베이킹 수축은 약 10%로 감소시킬 수 있다. 이와 같이, 콘트라스트는 용해 콘트라스트가 네거티브 톤 현상에 대하여 감소함과 함께 향상될 수 있으며, 한편 포지티브 톤 현상과 유사하도록 네거티브 톤 현상에 대한 내에칭성을 개선한다. 또한, 포토레지스트(111)의 기계적 성질, 예컨대 그의 분자량, 그의 전이 온도(Tg), 그의 내 용매성이 개선될 수 있다.
도 8은 현상제(701)로 현상 된 후 현상제(701) 및 포토레지스트(111)의 제거를 도시한다. 실시양태에서 현상제(701)는 임의의 적당한 제거 기술이 대안적으로 이용될 수 있지만, 예컨대, 스핀-건식 공정을 사용하여 제거될 수 있다. 포토레지스트(111)가 현상 된 후 추가의 공정은 포토레지스트(111)가 그 장소에 있으면서, 패턴화될 층(109) 상에서 수행될 수 있다. 한 예로서, 반응성 이온 에칭 또는 기타 에칭 공정은 포토레지스트(111)의 패턴을 하부의 패턴화될 층(109)으로 전송하기 위해 이용될 수 있다. 대안적으로, 패턴화될 층(109)이 시드 층인 실시양태에서, 패턴화될 층(109)은 포토레지스트(111)의 개구부에서 예컨대, 구리 기둥, 또는 기타 도전성 구조를 형성하기 위해 도금될 수 있다. 포토레지스트(111)가 그 장소에서 있으면서 수행될 수 있는 임의의 적당한 공정이 첨가 또는 감법에 상관없이 수행될 수 있으며, 모든 이러한 추가의 공정은 완전히 실시양태의 범주 내에 포함시키고자 한다.
패턴화될 층(109)이 일단 포토레지스트(111)를 사용하여 패턴화되면, 포토레지스트는 패턴화될 층(109)으로부터 제거될 수 있다(도 8에서 별개로 도시되지 않음). 실시양태에서 애싱 공정은 포토레지스트(111)를 제거하기 위하여 이용될 수 있으며, 이에 의해 포토레지스트(111)의 온도는 포토레지스트(111)의 열적 파괴를 야기하도록 상승 되며, 이것은 그후 헹굼과 같은 클리닝 절차를 사용하여 제거될 수 있다. 대안적으로 포토레지스트(111)은 예컨대, 습식 에칭 공정을 사용하여 제거될 수 있다. 포토레지스트(111)을 제거하기 위한 임의의 적당한 방법이 사용될 수 있으며, 모든 이러한 방법은 완전히 실시양태의 범주 내에 포함시키고자 한다.
도 9는 포토레지스트(111)이 하단 반사 방지 코팅(BARC) 층(901) 및 중간층(903)을 함께 이용하는 또 다른 실시양태가 도시된다. BARC 층(901)은, 그의 명칭에서 암시하는 바와 같이, 비제어되고 바람직하지 않은 에너지(예컨대, 광)의 반사 예컨대 포토레지스트(111)의 노광 동안 상부의 포토레지스트(111)로 광이 되돌아가는 것을 방지하는 작업을 하여 포토레지스트(111)의 바람직하지 않은 영역에서 야기되는 반응으로부터 반사광을 방지하는 포토레지스트(111)와는 상이한 광학 성질을 갖는다. 예를 들어, BARC 층(901)은 포토레지스트(111)와는 상이한 굴절률(n), 상이한 흡광 계수(k), 또는 두께(T) 값을 가질 수 있다. 또한, BARC 층(901)은 비스듬히 충돌하는 에너지의 부정적인 영향을 감소시키는데 도움이 되는 평면 표면을 제공하기 위해 사용될 수 있다.
이 실시양태에서 BARC 층(901)은 약 1000Å 내지 약 2600Å의 두께 T를 가질 수 있으며, 중간층(903)은 약 200Å 내지 약 500Å의 두께 T를 가질 수 있고, 포토레지스트(111)는 약 500Å 내지 약 1500Å의 두께를 가질 수 있다. 그러나, 이들 두께는 예시적인 의도이며 실시양태로 제한하려는 의도가 있는 것은 아니다. 오히려, 임의의 적당한 두께는 대안적으로 BARC 층(901), 중간층(903), 및 포토레지스트(111)를 위해 이용될 수 있으며, 모든 이러한 두께는 완전히 실시양태의 범주 내에 포함시키고자 한다.
실시양태에서 BARC 층(901)은 중합체 수지, 촉매, 및 가교제를 포함하며, 이들 모두는 분산을 위하여 BARC 용매에 배치된다. 중합체 수지는 함께 결합된 다양한 모노머가 있는 중합체를 포함할 수 있다. 실시양태에서 중합체는 상이한 모노머 예컨대 가교 모노머 및 발색단 단위가 있는 모노머를 포함할 수 있다. 실시양태에서, 발색단 단위가 있는 모노머는 치환 및 비치환 페닐, 치환 및 비치환 안트라실, 치환 및 비치환 페난트릴, 치환 및 비치환 나프틸, 치환 및 비치환 아크리딘, 치환 및 비치환 퀴놀리닐 및 고리-치환 퀴놀리닐 (예컨대, 히드록시퀴놀리닐), 산소, 질소, 황, 또는 그의 조합과 같은 헤테로 원자를 함유하는 치환 및 비치환 헤테로시클릭 고리, 예컨대 피롤리디닐, 피라닐, 피페리디닐, 아크리디닐, 퀴놀리닐을 함유하는 비닐 화합물을 포함할 수 있다. 이들 단위에서 치환기는 임의의 히드로카르빌기 일 수 있으며, 산소, 질소, 황, 또는 그의 조합과 같은 헤테로 원자를 더 함유할 수 있고, 예컨대 1 내지 12의 탄소 원자를 갖는 알킬렌, 에스테르, 에테르, 이들의 조합일 수 있다.
구체적인 실시양태에서 발색단 단위를 갖는 모노머는 스티렌, 히드록시스티렌, 아세톡시스티렌, 비닐 벤조에이트, 비닐 4-t-부틸벤조에이트, 에틸렌 글리콜 페닐 에테르 아크릴레이트, 펜옥시프로필 아크릴레이트, N-메틸 말레이미드, 2-(4-벤조일-3-히드록시펜옥시)에틸 아크릴레이트, 2-히드록시-3-펜옥시프로필 아크릴레이트, 페닐 메타크릴레이트, 벤질 메타크릴레이트, 9-안트라세닐메틸 메타크릴레이트, 9-비닐안트라센, 2-비닐나프탈렌, N-비닐프탈이미드, N-(3-히드록시)페닐 메타크릴아미드, N-(3-히드록시-4-히드록시카르보닐페닐아조)페닐 메타크릴아미드, N-(3-히드록실-4-에톡시카르보닐페닐아조)페닐 메타크릴아미드, N-(2,4-디니트로페닐아미노 페닐)말레이미드, 3-(4-아세토아미노페닐)아조-4-히드록시스티렌, 3-(4-에톡시카르보닐페닐)아조-아세토아세톡시 에틸 메타크릴레이트, 3-(4-히드록시페닐)아조-아세토아세톡시 에틸 메타크릴레이트, 3-(4-술포페닐)아조아세토아세톡시 에틸 메타크릴레이트의 테트라히드로암모늄 술페이트 염, 이들의 조합 등을 포함한다. 그러나, 충돌하는 광을 흡수하고 반사로부터 광을 방지하는 발색단 단위를 갖는 임의의 적당한 모노머가 대안적으로 사용될 수 있으며, 모든 이러한 모노머는 완전히 실시양태의 범주 내에 포함시키고자 한다.
가교 모노머는 중합체 수지 내에서 다른 중합체와 모노머를 가교하여 BARC 층(901)의 용해도를 변경하기 위해 사용될 수 있으며, 임의로 산 불안정기를 가질 수 있다. 특정 실시양태에서 가교 모노머는 예컨대, 히드록실기, 카르복실산기, 카르복실산 에스테르기, 에폭시기, 우레탄기, 아미드기, 이들의 조합 등도 또한 포함하는 탄화수소 사슬을 포함할 수 있다. 이용될 수 있는 가교 모노머의 구체적인 예는 폴리히드록시스티렌, 폴리(히드록시나프탈렌), 폴리(메타)크릴레이트, 폴리아크릴레이트, 폴리에스테르, 폴리우레탄, 알키드 수지(지방족 폴리에스테르), 폴리(히드록시스티렌-메틸메타크릴레이트), 하나 이상의 하기 모노머의 중합에 의해 수득된 단독중합체 및/또는 공중합체: 스티렌, 히드록시스티렌, 히드록시에틸(메트)아크릴레이트, 히드록시프로필(메트)아크릴레이트, 메틸(메트)아크릴레이트, 에틸(메트)아크릴레이트, (메트)아크릴산, 폴리(히드록시스티렌-스티렌-메타크릴레이트), 폴리(히드록시스티렌-스티렌-메타크릴레이트), 폴리(4-히드록시스티렌), 및 폴리(피로멜리트산 이무수물-에틸렌 글리콜-프로필렌 옥시드)를 포함한다.
다양한 모노머가 서로 중합되어 중합체 수지를 위한 탄소 사슬 백본을 갖는 중합체 구조를 형성할 것이다. 실시양태에서 중합체 구조는 아크릴, 폴리에스테르, 에폭시 노발락, 폴리사카라이드, 폴리에테르, 폴리이미드, 폴리우레탄, 및 그의 혼합물인 탄소 사슬 백본을 가질 수 있다.
또한, 당업자가 인식하는 바와 같이, BARC 층(901)을 위한 중합체 수지를 형성하는 중합될 수 있는 다양한 모노머에 대한 상기 기술은 예시적인 것으로 의도된 것이며, 어떠한 방식으로 실시양태를 제한하려는 의도는 아니다. 오히려, 여기에서 기술된 모노머의 원하는 기능을 수행하는 임의의 적당한 모노머 또는 모노머의 조합도 또한 이용될 수 있다. 모든 이러한 모노머는 완전히 실시양태의 범주 내에 포함시키고자 한다.
촉매는 중합체 수지 내에서 중합체 간의 가교 반응을 개시하기 위해 사용되는 화합물 일 수 있으며, 예컨대, 열 산 발생제, 광산 발생제, 광염기 발생제, 적당한 이들의 조합 등일 수 있다. 촉매가 열 산 발생제인 실시양태에서, 촉매는 충분한 열이 BARC 층(901)에 가해질 때 산을 생성할 것이다. 열 산 발생제의 구체적인 예는 부탄 술폰산, 트리플산, 나노플루로부탄 술폰산, 니트로벤질 토실레이트, 예컨대 2-니트로벤질 토실레이트, 2,4-디니트로벤질 토실레이트, 2,6-디니트로벤질 토실레이트, 4-니트로벤질 토실레이트; 벤젠술포네이트 예컨대 2-트리플루오로메틸-6-니트로벤질 4-클로로벤젠술포네이트, 2-트리플루오로메틸-6-니트로벤질 4-니트로 벤젠술포네이트; 페놀 술포네이트 에스테르 예컨대 페닐, 4-메톡시벤젠술포네이트; 유기산의 알킬 암모늄염, 예컨대 10-캄포르술폰산의 트리에틸암모늄 염, 이들의 조합 등을 포함한다.
촉매가 광산 발생제인 실시양태에서, 촉매는 할로겐화 트리아진, 오늄 염, 디아조늄 염, 방향족 디아조늄 염, 포스포늄염 염, 술포늄 염, 요오도늄 염, 이미드 플레이팅 촉매술포네이트, 옥심 술포네이트, 디아조디술폰, 디술폰, o-니트로벤질술포네이트, 술포네이트화 에스테르, 할로겐화 술포닐옥시 디카르복시이미드, 디아조디술폰, α-시아노옥시아민-술포네이트, 이미드술포네이트, 케토디아조술폰, 술포닐디아조에스테르, 1,2-디(아릴술포닐)히드라진, 니트로벤질 에스테르, 및 s-트리아진 유도체, 적당한 이들의 조합 등을 포함할 수 있다.
사용될 수 있는 광산 발생제의 구체적인 예는 α-(트리플루오로메틸술포닐옥시)-비시클로[2.2.1]헵트-5-엔-2,3-디카르복시미드(MDT), N-히드록시-나프탈이미드(DDSN), 벤조인 토실레이트, t-부틸페닐-α-(p-톨루엔술포닐옥시)-아세테이트 및 t-부틸-α-(p-톨루엔술포닐옥시)-아세테이트, 트리아릴술포늄 및 디아릴요오도늄 헥사플루오로안티모네이트, 헥사플루오로아르세네이트, 트리플루오로메탄술포네이트, 요오도늄 퍼플루오로옥탄술포네이트, N-캄포르술포닐옥시나프탈이미드, N-펜타플루오로페닐술포닐옥시나프탈이미드, 이온성 요오도늄 술포네이트 예컨대 디아릴 요오도늄 (알킬 또는 아릴) 술포네이트 및 비스-(디-t-부틸페닐)요오도늄 캄파닐술포네이트, 퍼플루오로알칸술포네이트 예컨대 퍼플루오로펜탄술포네이트, 퍼플루오로옥탄술포네이트, 퍼플루오로메탄술포네이트, 아릴 (예컨대, 페닐 또는 벤질) 트리플레이트 예컨대 트리페닐술포늄 트리플레이트 또는 비스-(t-부틸페닐)요오도늄 트리플레이트; 피로갈롤 유도체 (예컨대, 피로갈롤의 트리메실레이트), 히드록시이미드의 트리플루오로메탄술포네이트 에스테르, α,α'-비스-술포닐-디아조메탄, 니트로-치환 벤질 알콜의 술포네이트 에스테르, 나프토퀴논-4-디아지드, 알킬 디술폰 등을 포함한다.
기타 실시양태에서 촉매는 광염기 발생제일 수 있다. 이러한 실시양태에서 광염기 발생제는 사차 암모늄 디티오카르바메이트, α 아미노케톤, 옥심-우레탄 함유 분자 예컨대 디벤조페논옥심 헥사메틸렌 디우레탄, 암모늄 테트라오르가닐보레이트 염, 및 N-(2-니트로벤질옥시카르보닐) 시클릭 아민, 적당한 이들의 조합 등을 포함할 수 있다.
가교제는 또한 BARC 층(901)에 첨가될 수 있다. 가교제는 노광 후 BARC 층(901) 내에서 중합체와 반응하여 레지스트 패턴 및 건식 내에칭성을 향상시키는데 도움을 주는 포토레지스트의 가교 밀도 증진에 조력한다. 실시양태에서 가교제는 멜라민계 제제, 우레아계 제제, 에틸렌 우레아계 제제, 프로필렌 우레아계 제제, 글리콜우릴계 제제, 히드록실기, 히드록시알킬기, 또는 이들의 조합을 갖는 지방족 시클릭 탄화수소, 지방족 시클릭 탄화수소의 산소 함유 유도체, 글리콜우릴 화합물, 에테르화 아미노 수지, 폴리에테르 폴리올, 폴리글리시딜 에테르, 비닐 에테르, 트리아진, 이들의 조합 등일 수 있다.
가교제로 이용될 수 있는 물질의 구체적인 예는 멜라민, 아세토구아나민, 벤조구아나민, 우레아, 에틸렌 우레아, 또는 포름알데히드가 있는 글리콜우릴, 포름알데히드 및 저급 알콜의 조합이 있는 글리콜우릴, 헥사메톡시메틸멜라민, 비스메톡시메틸우레아, 비스메톡시메틸비스메톡시에틸렌 우레아, 테트라메톡시메틸글리콜우릴, 및 테트라부톡시메틸글리콜우릴, 모노-, 디-, 트리-, 또는 테트라-히드록시메틸화 글리콜우릴, 모노-, 디-, 트리-, 및/또는 테트라-메톡시메틸화 글리콜우릴, 모노-, 디-, 트리-, 및/또는 테트라-에톡시메틸화 글리콜우릴, 모노-, 디-, 트리-, 및/또는 테트라-프로폭시메틸화 글리콜우릴, 및 모노-, 디-, 트리-, 및/또는 테트라-부톡시메틸화 글리콜우릴, 2,3-디히드록시-5-히드록시메틸노르보르난, 2-히드록시-5,6-비스(히드록시메틸)노르보르난, 시클로헥산디메탄올, 3,4,8(또는 9)-트리히드록시트리시클로데칸, 2-메틸-2-아다만타놀, 1,4-디옥산-2,3-디올 및 1,3,5-트리히드록시시클로헥산, 테트라메톡시메틸 글리콜우릴, 메틸프로필테트라메톡시메틸 글리콜우릴, 및 메틸페닐테트라메톡시메틸글리콜우릴, 2,6-비스(히드록시메틸)p-크레솔, N-메톡시메틸- 또는 N-부톡시메틸-멜라민을 포함한다. 또한, 포름알데히드, 또는 포름알데히드 및 저급 알콜과 아미노기-함유 화합물, 예컨대 멜라민, 아세토구아나민, 벤조구아나민, 우레아, 에틸렌 우레아 및 글리콜우릴의 반응, 및 아미노기의 수소 원자를 히드록시메틸기 또는 저급 알콕시메틸기로 치환하여 수득된 화합물, 예로는 헥사메톡시메틸멜라민, 비스메톡시메틸 우레아, 비스메톡시메틸비스메톡시에틸렌 우레아, 테트라메톡시메틸 글리콜우릴 및 테트라부톡시메틸 글리콜우릴, 3-클로로-2-히드록시프로필 메타크릴레이트 및 메타크릴산의 공중합체, 3-클로로-2-히드록시프로필 메타크릴레이트 및 시클로헥실 메타크릴레이트 및 메타크릴산의 공중합체, 3-클로로-2-히드록시프로필 메타크릴레이트 및 벤질 메타크릴레이트 및 메타크릴산의 공중합체, 비스페놀 A-디(3-클로로-2-히드록시프로필)에테르, 페놀 노볼락 수지의 폴리(3-클로로-2-히드록시프로필)에테르, 펜타에리트리톨 테트라(3-클로로-2-히드록시프로필)에테르, 트리메틸올메탄 트리(3-클로로-2-히드록시프로필)에테르 페놀, 비스페놀 A-디(3-아세톡시-2-히드록시프로필)에테르, 페놀 노볼락 수지의 폴리(3-아세톡시-2-히드록시프로필)에테르, 펜타에리트리톨 테트라(3-아세톡시-2-히드록시프로필)에테르, 펜타에리트리톨 폴리(3-클로로아세톡시-2-히드록시프로필)에테르, 트리메틸올메탄 트리(3-아세톡시-2-히드록시프로필)에테르, 이들의 조합 등이다.
BARC 층(901)의 개별 성분은 BARC 층(901)의 혼합 및 배치를 돕기 위하여 BARC 용매에 배치될 수 있다. BARC 층(901)의 혼합 및 배치를 돕기 위하여, 용매는 촉매뿐만 아니라 BARC 층(901)의 중합체 수지에 대하여 선택된 모노머 및 물질을 근거로 적어도 부분적으로 선택된다. 특히, BARC 용매는 중합체 수지, 촉매, 및 가교제가 균일하게 BARC 용매로 용해되고, 기판(101) 상에서 분배될 수 있도록 선택된다.
실시양태에서 BARC 용매는 유기 용매일 수 있으며, 임의의 적당한 용매 예컨대 케톤, 알콜, 폴리알콜, 에테르, 글리콜 에테르, 시클릭 에테르, 방향족 탄화수소, 에스테르, 프로피오네이트, 락테이트, 락트산 에스테르, 알킬렌 글리콜 모노알킬 에테르, 알킬 락테이트, 알킬 알콕시프로피오네이트, 시클릭 락톤, 고리를 함유하는 모노케톤 화합물, 알킬렌 카르보네이트, 알킬 알콕시아세테이트, 알킬 피루베이트, 락테이트 에스테르, 에틸렌 글리콜 알킬 에테르 아세테이트, 디에틸렌 글리콜, 프로필렌 글리콜 알킬 에테르 아세테이트, 알킬렌 글리콜 알킬 에테르 에스테르, 알킬렌 글리콜 모노알킬 에스테르 등을 포함할 수 있다.
BARC 용매로서 사용될 수 있는 물질의 구체적인 예는, 아세톤, 메탄올, 에탄올, 톨루엔, 크실렌, 4-히드록시-4-메틸-2-펜타톤, 테트라히드로푸란, 메틸 에틸 케톤, 시클로헥사논, 메틸 이소아밀 케톤, 2-헵타논, 에틸렌 글리콜, 에틸렌 글리콜 모노아세테이트, 에틸렌 글리콜 디메틸 에테르, 에틸렌 글리콜 디메틸 에테르, 에틸렌 글리콜 메틸에틸 에테르, 에틸렌 글리콜 모노에테릴 에테르, 메틸 셀로솔브 아세테이트, 에틸 셀로솔브 아세테이트, 디에틸렌 글리콜, 디에틸렌 글리콜 모노아세테이트, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 디에틸 에테르, 디에틸렌 글리콜 디메틸 에테르, 디에틸렌 글리콜 에틸메틸 에테르, 디에테릴렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노부틸 에테르, 에틸 2-히드록시프로피오네이트, 메틸 2-히드록시-2-메틸프로피오네이트, 에틸 2-히드록시-2-메틸프로피오네이트, 에틸 에톡시아세테이트, 에틸 히드록시아세테이트, 메틸 2-히드록시-2-메틸부타네이트, 메틸 3-메톡시프로피오네이트, 에틸 3-메톡시프로피오네이트, 메틸 3-에톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 에틸 아세테이트, 부틸 아세테이트, 메틸 락테이트 및 에틸 락테이트, 프로필렌 글리콜, 프로필렌 글리콜 모노아세테이트, 프로필렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 프로필렌 글리콜 모노프로필 메틸 에테르 아세테이트, 프로필렌 글리콜 모노부틸 에테르 아세테이트, 프로필렌 글리콜 모노부틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르 프로피오네이트, 프로필렌 글리콜 모노에틸 에테르 프로피오네이트, 프로필렌 글리콜 메틸 에테르 아세테이트, 프로필렌 글리콜 에틸 에테르 아세테이트, 에틸렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노프로필 에테르, 프로필렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 메틸 락테이트, 에틸 락테이트, 프로필 락테이트, 및 부틸 락테이트, 에틸 3-에톡시프로피오네이트, 메틸 3-메톡시프로피오네이트, 메틸 3-에톡시프로피오네이트, 및 에틸 3-메톡시프로피오네이트, β-프로피오락톤, β-부티로락톤, γ-부티로락톤, α-메틸-γ-부티로락톤, β-메틸-γ-부티로락톤, γ-발레로락톤, γ-카프로락톤, γ-옥탄산 락톤, α-히드록시-γ-부티로락톤, 2-부타논, 3-메틸부타논, 피나콜론, 2-펜타논, 3-펜타논, 4-메틸-2-펜타논, 2-메틸-3-펜타논, 4,4-디메틸-2-펜타논, 2,4-디메틸-3-펜타논, 2,2,4,4-테트라메틸-3-펜타논, 2-헥사논, 3-헥사논, 5-메틸-3-헥사논, 2-헵타논, 3-헵타논, 4-헵타논, 2-메틸-3-헵타논, 5-메틸-3-헵타논, 2,6-디메틸-4-헵타논, 2-옥타논, 3-옥타논, 2-노나논, 3-노나논, 5-노나논, 2-데카논, 3-데카논, 4-데카논, 5-헥센-2-온, 3-펜텐-2-온, 시클로펜타논, 2-메틸시클로펜타논, 3-메틸시클로펜타논, 2,2-디메틸시클로펜타논, 2,4,4-트리메틸시클로펜타논, 시클로헥사논, 3-메틸시클로헥사논, 4-메틸시클로헥사논, 4-에틸시클로헥사논, 2,2-디메틸시클로헥사논, 2,6-디메틸시클로헥사논, 2,2,6-트리메틸시클로헥사논, 시클로헵타논, 2-메틸시클로헵타논, 3-메틸시클로헵타논, 필렌 카르보네이트, 비닐렌 카르보네이트, 에틸렌 카르보네이트, 및 부틸렌 카르보네이트, 아세테이트-2-메톡시에틸, 아세테이트-2-에톡시에틸, 아세테이트-2-(2-에톡시에톡시)에틸, 아세테이트-3-메톡시-3-메틸부틸, 아세테이트-1-메톡시-2-프로필, 디프로필렌 글리콜, 모노메틸에테르, 모노에틸에테르, 모노프로필에테르, 모노부틸에테르, 모노페일에테르, 디프로필렌 글리콜 모노아세테이트, 디옥산, 메틸 락테이트, 에틸 락테이트, 메틸 아세테이트, 에틸 아세테이트, 부틸 아세테이트, 메틸 피루베이트, 에틸 피루베이트, 프로필 피루베이트, 메틸 메톡시프로피오네이트, 에틸 에톡시프로피오네이트, n-메틸피롤리돈(NMP), 2-메톡시에틸 에테르(디글라임), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르; 에틸 락테이트 또는 메틸 락테이트, 메틸 프로포니에이트, 에틸 프로포니에이트 및 에틸 에톡시 프로포니에이트, 메틸에틸 케톤, 시클로헥사논, 2-헵타논, 이산화탄소, 시클로펜타톤, 시클로헥사논, 에틸 3-에톡시프로피오네이트, 에틸 락테이트, 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 메틸렌 셀로솔브, 부틸 아세테이트, 및 2-에톡시에탄올, N-메틸포름아미드, N,N-디메틸포름아미드, N-메틸포름아닐리드, N-메틸아세트아미드, N,N-디메틸아세트아미드, N-메틸피롤리돈, 디메틸술폭시드, 벤질 에틸 에테르, 디헥실 에테르, 아세토닐아세톤, 이소포론, 카프로산, 카프릴산, 1-옥탄올, 1-노난올, 벤질 알콜, 벤질 아세테이트, 에틸 벤조에이트, 디에틸 옥살레이트, 디에틸 말레에이트, γ-부티로락톤, 에틸렌 카르보네이트, 프로필렌 카르보네이트, 페닐 셀로솔브 아세테이트 등을 포함한다.
그러나, 당업자가 인식하는 바와 같이, BARC 층(901)의 용매 성분을 위해 이용될 수 있는 물질의 예로서 상기에서 나열 및 기술된 물질은 단순히 예시적인 것이며 실시양태로 제한하고자 하는 의도는 아니다. 오히려, 중합체 수지, 촉매, 및 가교층을 용해할 수 있는 임의의 적당한 물질은 BARC 층(901)을 혼합 및 도포하는 것을 돕기 위해 대안적으로 이용될 수 있다. 이러한 모든 물질은 완전히 실시양태의 범주 내에 포함시키고자 한다.
부가적으로, 기타 성분은 원하는 경우 BARC 층(901)을 위한 물질에 또한 첨가될 수 있다. 예를 들어, 실시양태에서 모노머 염료, 표면 레벨링제, 접착 프로모터, 소포제 등이 대안적으로 이용될 수 있다. 임의의 적당한 첨가제는 BARC 층(901)을 위한 물질에 첨가될 수 있으며, 모든 이러한 첨가제는 완전히 실시양태의 범주 내에 포함시키고자 한다.
실시양태에서 임의의 원하는 첨가제 또는 기타 제제와 함께 중합체 수지, 촉매, 및 가교제가 BARC 용매에 첨가되어 BARC 층(901)을 위한 물질을 형성한다. 일단 첨가되면, 혼합물은 BARC층(901)을 위한 물질의 일정하지 않은 조성 또는 불균일한 혼합에 의해 야기되는 결함이 없도록 보장하기 위하여 BARC층(901)을 위한 물질 전반에 걸쳐 균일하고 일정한 조성을 달성하기 위하여 그 후 혼합된다. 일단 함께 혼합하면, BARC층(901)을 위한 물질은 그의 사용 전에 저장되거나 또는 그밖에 즉시 사용될 수 있다.
원래의 그의 혼합 형태에서, BARC 층(901)을 위한 물질은 약 0.1% 내지 약 60%의 농도를 갖는 중합체 수지, 약 0.01% 내지 약 10%의 농도를 갖는 촉매, 및 약 0.01% 내지 약 30%의 농도를 갖는 가교제를 갖는 성분의 일정한 조성을 포함할 수 있다. 그러나, 이들 농도가 예시적이지만, BARC 층(901)을 위한 물질의 다양한 성분의 임의의 적당한 조합이 사용될 수 있으며, 모든 이러한 조합은 완전히 실시양태의 범주 내에 포함시키고자 한다.
BARC 층(901)을 위한 물질이 일단 제조되면, BARC 층(901)을 위한 물질은 기판(101) 상에 BARC 층(901)을 위한 물질을 초기에 도포하여 이용될 수 있다. BARC 층(901)을 위한 물질은 기판(101)의 노광된 표면 상에 BARC 층(901)을 위한 물질이 코팅되도록 기판(101)에 도포될 수 있으며, 공정 예컨대 스핀-온 코팅 공정, 딥 코팅 방법, 에어- 나이프 코팅 방법, 커튼 코팅 방법, 와이어-바 코팅 방법, 그라비아 코팅 방법, 라미네이션 방법, 압출 코팅 방법, 이들의 조합 등을 사용하여 도포 될 수 있다. 실시양태에서 BARC 층(901)을 위한 물질은 약 10 nm 내지 약 1000 nm, 예컨대 약 100 nm의 기판(101) 상부 위의 두께 및 일정한 농도롤 갖도록 초기에 도포 될 수 있다.
BARC 층(901)을 위한 물질이 일단 그 장소에 있다면, BARC 층(901)의 프리-베이크가 수행될 수 있다. 실시양태에서 BARC 층(901)이 일단 기판(101)에 도포 된다면, BARC 층(901)의 프리-베이크는 중간층(903) 및 포토레지스트(111)의 적용 전에 BARC 층(901)을 경화 및 건조하기 위하여 수행된다. BARC 층(901)의 경화 및 건조는 BARC 용매 성분의 일부를 제거하지만 중합체 수지, 촉매, 가교제, 및 기타 첨가제를 후방에 남긴다. 실시양태에서 프리-베이크는 정확한 온도가 BARC 층(901)을 위해 선택된 물질에 의존하지만, BARC 용매를 증발시키기에 적당한 온도, 예컨대 약 40℃ 내지 150℃에서 수행될 수 있다. 프리-베이크는 BARC 층(901)을 경화 및 건조시키기에 충분한 시간, 예컨대 약 10초 내지 약 5분, 예컨대 약 90초 동안 수행된다.
그러나, 당업자가 인식하는 바와 같이, BARC 층(901)의 상기 기술은 오로지 예시적인 의도이며 실시양태로 제한하려는 의도는 아니다. 오히려, 임의의 적당한 물질, 예컨대 헥사메틸디실라잔(HMDS)이 대안적으로 이용될 수 있다. 모든 이러한 물질은 완전히 실시양태의 범주 내에 포함시키고자 한다.
중간층(903)은 BARC 층(901) 상에 배치될 수 있다. 실시양태에서 중간층(903)은 포토레지스트(111)와는 상이한 내에칭성을 갖는 유기층 또는 무기층일 수 있다. 실시양태에서 중간층(903)은 하나 이상의 내에칭성 분자 예컨대 낮은 오니시 번호 구조, 이중 결합 구조, 삼중 결합 구조, 티탄, 티탄 니트라이드, 알루미늄, 알루미늄 옥시드, 실리콘 옥시니트라이드 등을 포함한다.
특정 실시양태에서 중간층(903)은 하드 마스크 물질 예컨대 실리콘, 실리콘 니트라이드, 옥시드, 옥시니트라이드, 실리콘 카바이드, 이들의 조합 등이다. 중간층(903)을 위한 하드 마스크 물질은 기타 방법, 예컨대 플라즈마 강화 화학 기상 증착(PECVD), 저압 화학 기상 증착(LPCVD), 스핀-온 코팅, 또는 심지어 질화에 이은 산화 규소 형성이 대안적으로 이용될 수 있지만, 화학 기상 증착(CVD)과 같은 공정을 통해 형성될 수 있다. 하드 마스크 물질을 형성 또는 그렇지 않으면 배치하는 임의의 적당한 방법 또는 방법의 조합이 이용될 수 있으며, 모든 이러한 방법 또는 조합은 완전히 실시양태의 범주 내에 포함시키고자 한다. 중간층(903)은 약 100Å 내지 약 800Å 예컨대 약 300Å의 두께로 형성될 수 있다.
중간층(903)을 위한 하드 마스크 물질의 층이 일단 형성되면, 포토레지스트(111)가 중간층(903)을 위한 하드 마스크 물질 상에 배치 및 패턴화될 수 있다. 중간층(903)을 위한 하드 마스크 물질 상에서 포토레지스트(111)의 배치 및 포토레지스트(111)의 패턴화는 도 1-7과 관련하여 기술된 바와 같은 포토레지스트(111)의 배치 및 포토레지스트의 현상과 유사할 수 있다. 예를 들어, 포토레지스트(111)는 스핀-온 공정을 사용하여 배치되고, 포토레지스트 화상 디바이스(500)를 사용하여 조명되며, 및 그후 현상제(701)를 사용하여 현상 될 수 있다.
도 10은 포토레지스트(111)가 원하는 패턴으로 일단 패턴화되면, 포토레지스트(111)는 중간층(903)의 하드 마스크 물질을 패턴 형성하기 위한 마스크로서 사용될 수 있음을 도시한다. 예를 들어, 포토레지스트(111)의 패턴은 이방성 에칭 공정 예컨대 반응성 이온 에칭(RIE)을 사용하여 중간층(903)으로 전송될 수 있으며, 이에 의해 적당한 에천트 예컨대 CF4-O2의 이온은 건식 에칭에서 패턴화된 포토레지스트(111)에 의해 노광된 중간층(903)의 일부를 제거하기 위해 이용될 수 있다. 그러나, 임의의 기타 적당한 에천트, 예컨대 CHF2/O2, CH2F2, CH3F 등, 및 임의의 기타 적당한 제거 방법, 예컨대 습식 스트리핑이 대안적으로 사용될 수 있다.
도 10은 포토레지스트(111)의 패턴이 일단 중간층(903)으로 전송되면, 중간층(903)은 포토레지스트(111)의 패턴을 BARC 층(901)으로 전송하기 위하여 사용될 수 있음을 더 도시한다. 실시양태에서 BARC 층(901)은 포토레지스트(111) 및 마스크 층으로서 중간층(903)(현재 패턴화된)을 이용한 에칭 공정을 사용하여 제거될 수 있다. 에칭 공정은 에천트 예컨대 산소, 질소, 수소, 암모니아, 육플루오르화 황, 디플루오로메탄, 삼플루오르화 질소, 삼불화 염소, 염소, 일산화탄소, 이산화탄소, 헬륨, 보론 디클로라이드, 아르곤, 불소, 트리플루오로메탄, 테트라플루오로메탄, 퍼플루오로시클로부탄, 퍼플루오로프로판, 이들의 조합 등을 이용한 건식 에칭 공정일 수 있다. 그러나, 임의의 기타 적당한 에칭 공정, 예컨대 습식 에칭, 또는 심지어 중간층(903)으로서 동시에 수행되는 습식 에칭, 및 임의의 기타 적당한 에천트가 대안적으로 사용될 수 있다.
하부 층으로서 및 반사 방지 코팅으로서 BARC 층(901)을 이용함으로 서, 더 균일한 층이 다양한 상이한 하부 영역 상에서 형성될 수 있다. 보다 균일한 층을 형성함에 의해, 점점 소형화하는 디바이스를 제조할 수 있는 더 효율적인 제조 공정을 초래하는 후속 공정을 더 양호하게 제어할 수 있다.
상기 기술된 실시양태에서 논의된 바와 같은 탄화수소 백본 상의 추가의 구조를 이용함으로 서, 각각의 실시양태는 후-노광 베이킹 후 독립적으로 포토레지스트(111)의 수축을 20% 미만 감소시킬 수 있다. 이러한 수축의 감소는 포토레지스트(111)의 임계 치수의 저하를 감소시키며, 더욱 소형화한 화상을 포토레지스트(111) 내에 형성하도록 허용한다.
실시양태에 따라, 탄화수소 백본을 포함하는 포토레지스트가 제공된다. 고 내에칭성 구조는 탄화수소 백본에 부착되고, 분해성 기는 고 내에칭성 구조에 결합된다.
또 다른 실시양태에 따라, 탄화수소 백본에 결합된 분해성 기를 포함하는 포토레제스트가 제공된다. 재부착 기는 분해성 기에 결합된다.
여전히 또 다른 실시양태에 따라, 탄화수소 백본에 부착된 고 내에칭성 구조를 포함하는 포토레지스트가 기판상에 분배하는 것을 포함하는 반도체 디바이스의 제조 방법이 제공된다. 분해성 기는 고 내에칭성 구조에 결합된다. 포토레지스트는 패턴화된 에너지원에 노광되며, 포토레지스트는 현상된다.
전술한 것은 당업자가 본 발명의 양상을 더 잘 이해할 수 있도록 여러 실시양태의 특징을 간략하게 설명한 것이다. 여기에서 도입된 실시양태와 동일한 목적을 수행 및/또는 동일한 장점을 달성하기 위하여 기타 공정 및 구조를 설계 또는 변형하는 기초로서 당업자가 본 발명을 용이하게 사용할 수 있음을 당업자는 이해하여야 한다. 당업자는 또한 이러한 동등한 구성이 본 발명의 사상 및 범주를 벗어나지 않으며, 그들은 여기에서 본 발명의 사상 및 범주를 벗어남이 없이 다양한 변화, 대체, 및 변경을 할 수 있다는 것을 인식하여야 한다.

Claims (10)

  1. 탄화수소 백본,
    탄화수소 백본에 부착된 고 내에칭성 구조, 및
    고 내에칭성 구조에 결합된 분해성 기
    를 포함하는 포토레지스트.
  2. 제1항에 있어서, 고 내에칭성 구조는 하기 구조 중 하나를 갖는 것인 포토레지스트:
    Figure pat00051

    Figure pat00052

    Figure pat00053

    Figure pat00054

    Figure pat00055

    Figure pat00056

    Figure pat00057

    식중, R1은 메틸기, 에틸기, 프로필기, 이소프로필기, 부틸기, 이소부틸기, 펜틸기, 또는 이소펜틸기이며, R3은 C1 내지 C3 알킬 사슬이고, R2는 다음의 구조:
    Figure pat00058
    를 가지며, 여기서 R6은 분해성 기이다.
  3. 제1항에 있어서, 가교 부위를 지닌 비이탈 모노머(non-leaving monomer)를 더 포함하는 포토레지스트.
  4. 탄화수소 백본에 결합된 분해성 기, 및
    분해성 기에 결합된 재부착 기
    를 포함하는 포토레지스트.
  5. 제4항에 있어서, 재부착 기가 OCH3, OC2H5, OC3H7, OC4H9, 에폭시, CH2OCH3, CH2OC2H5, CH2OC3H7, CH2OC4H9, CH2CH3OCH3, CH2CH3OC2H5, CH2CH3OC3H7, 또는 CH2CH3OC4H9인 포토레지스트.
  6. 제4항에 있어서, 가교 부위가 있는 비이탈 모노머를 더 포함하는 포토레지스트.
  7. 반도체 디바이스의 제조 방법으로서,
    탄화수소 백본에 부착된 고 내에칭성 구조, 및 고 내에칭성 구조에 결합된 분해성 기를 포함하는 포토레지스트를 기판 상에 분배하는 단계,
    포토레지스트를 패턴화된 에너지원에 노광하는 단계, 및
    포토레지스트를 현상하는 단계
    를 포함하는 제조 방법.
  8. 제7항에 있어서, 고 내에칭성 구조는 하기 구조를 갖는 것인 제조 방법:
    Figure pat00059

    상기 식중 R1은 메틸기, 에틸기, 프로필기, 이소프로필기, 부틸기, 이소부틸기, 펜틸기, 또는 이소펜틸기이고, R2는 다음의 구조:
    Figure pat00060
    를 가지며, 여기서 R6은 분해성 기이다.
  9. 제7항에 있어서, 포토레지스트의 노광 단계는 가교 부위를 지닌 모노머에서 가교 반응을 유도하는 것인 제조 방법.
  10. 제7항에 있어서, 포토레지스트의 현상 단계는 네거티브 톤 현상제를 도포하는 단계를 더 포함하는 것인 제조 방법.
KR1020150018589A 2014-05-16 2015-02-06 포토레지스트 및 방법 KR20150131940A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461994741P 2014-05-16 2014-05-16
US61/994,741 2014-05-16
US14/334,590 US9581908B2 (en) 2014-05-16 2014-07-17 Photoresist and method
US14/334,590 2014-07-17

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170131686A Division KR20170118013A (ko) 2014-05-16 2017-10-11 포토레지스트 및 방법

Publications (1)

Publication Number Publication Date
KR20150131940A true KR20150131940A (ko) 2015-11-25

Family

ID=54361588

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150018589A KR20150131940A (ko) 2014-05-16 2015-02-06 포토레지스트 및 방법
KR1020170131686A KR20170118013A (ko) 2014-05-16 2017-10-11 포토레지스트 및 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170131686A KR20170118013A (ko) 2014-05-16 2017-10-11 포토레지스트 및 방법

Country Status (5)

Country Link
US (2) US9581908B2 (ko)
KR (2) KR20150131940A (ko)
CN (1) CN105093826B (ko)
DE (1) DE102014119645B4 (ko)
TW (2) TWI567492B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017222275A1 (ko) * 2016-06-24 2017-12-28 영창케미칼 주식회사 포토레지스트 패턴 축소 조성물과 패턴 축소 방법
KR20200111487A (ko) * 2019-03-19 2020-09-29 인하대학교 산학협력단 우수한 분산 안정성을 갖는 안료 분산액, 이의 제조방법, 및 이를 이용한 포토패터닝 방법

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US10095113B2 (en) 2013-12-06 2018-10-09 Taiwan Semiconductor Manufacturing Company Photoresist and method
US9581908B2 (en) * 2014-05-16 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method
TWI689988B (zh) * 2016-07-21 2020-04-01 日商東京威力科創股份有限公司 半導體裝置之製造方法、真空處理裝置及基板處理裝置
KR20210094191A (ko) 2020-01-20 2021-07-29 삼성전자주식회사 광분해성 화합물 및 이를 포함하는 포토레지스트 조성물과 집적회로 소자의 제조 방법

Family Cites Families (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4072527A (en) 1972-09-27 1978-02-07 E. I. Du Pont De Nemours And Company Oxygen barrier layers for photopolymerizable elements
JPS5420719A (en) 1977-07-15 1979-02-16 Fuji Photo Film Co Ltd Photosensitive material for image formation and image formation method
US4212935A (en) 1978-02-24 1980-07-15 International Business Machines Corporation Method of modifying the development profile of photoresists
US4289845A (en) 1978-05-22 1981-09-15 Bell Telephone Laboratories, Inc. Fabrication based on radiation sensitive resists and related products
US4346164A (en) 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US4663275A (en) 1984-09-04 1987-05-05 General Electric Company Photolithographic method and combination including barrier layer
WO1987004810A1 (en) 1986-01-29 1987-08-13 Hughes Aircraft Company Method for developing poly(methacrylic anhydride) resists
JPH0690511B2 (ja) 1986-06-23 1994-11-14 富士写真フイルム株式会社 感光材料
US4939070A (en) 1986-07-28 1990-07-03 Brunsvold William R Thermally stable photoresists with high sensitivity
US5288588A (en) 1989-10-27 1994-02-22 Nissan Chemical Industries Ltd. Positive photosensitive polyimide resin composition comprising an o-quinone diazide as a photosensitive compound
DE69126586T2 (de) 1990-08-30 1997-11-27 At & T Corp Verfahren zur Herstellung einer Vorrichtung
US5268260A (en) 1991-10-22 1993-12-07 International Business Machines Corporation Photoresist develop and strip solvent compositions and method for their use
US5766824A (en) 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
JP2715881B2 (ja) 1993-12-28 1998-02-18 日本電気株式会社 感光性樹脂組成物およびパターン形成方法
JP3690847B2 (ja) 1995-09-20 2005-08-31 富士通株式会社 レジスト組成物及びパターン形成方法
US5902599A (en) * 1996-02-20 1999-05-11 Massachusetts Institute Of Technology Biodegradable polymer networks for use in orthopedic and dental applications
EP0885405B1 (en) 1996-03-07 2005-06-08 Sumitomo Bakelite Co., Ltd. Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
US5856065A (en) 1996-03-27 1999-01-05 Olin Microelectronic Chemicals, Inc. Negative working photoresist composition based on polyimide primers
US5886102A (en) 1996-06-11 1999-03-23 Shipley Company, L.L.C. Antireflective coating compositions
TW439016B (en) 1996-09-20 2001-06-07 Sumitomo Chemical Co Positive resist composition
CA2187046A1 (fr) 1996-10-03 1998-04-03 Alain Vallee Sulfonylimidures et sulfonylmethylures, leur utilisation comme photoinitiateur
US6187504B1 (en) 1996-12-19 2001-02-13 Jsr Corporation Radiation sensitive resin composition
US5889141A (en) 1997-04-28 1999-03-30 Hitachi Chemical Co., Ltd. Photoimageable compositions comprising polyquinoline polymer and diazo compound
US5863710A (en) 1997-06-05 1999-01-26 Tokyo Ohka Kogyo Co., Ltd. Developer solution for photolithographic patterning
US7026093B2 (en) * 1997-08-28 2006-04-11 Shipley Company, L.L.C. Photoresist compositions
EP1024407A4 (en) 1997-10-13 2004-06-30 Pi R & D Co Ltd POSITIVE PHOTOSENSITIVE POLYIMIDE COMPOSITION
TW457277B (en) 1998-05-11 2001-10-01 Shinetsu Chemical Co Ester compounds, polymers, resist composition and patterning process
WO2000001684A1 (fr) 1998-07-03 2000-01-13 Nec Corporation Derives de (meth)acrylate porteurs d'une structure lactone, compositions polymeres et photoresists et procede de formation de modeles a l'aide de ceux-ci
US6852473B2 (en) 2000-01-12 2005-02-08 Infineon Technologies Richmond, Lp Anti-reflective coating conformality control
KR100719783B1 (ko) 2000-04-04 2007-05-21 스미또모 가가꾸 가부시키가이샤 화학 증폭형 포지티브 내식막 조성물
US20020015826A1 (en) 2000-04-11 2002-02-07 Darryl Desmarteau Zwitterionic iodonium compounds and methods of application
WO2001085811A2 (en) 2000-05-05 2001-11-15 E.I. Du Pont De Nemours And Company Copolymers for photoresists and processes therefor
US20030022097A1 (en) 2000-05-05 2003-01-30 Arch Specialty Chemicals, Inc Tertiary-butyl acrylate polymers and their use in photoresist compositions
US6306554B1 (en) 2000-05-09 2001-10-23 Shipley Company, L.L.C. Polymers containing oxygen and sulfur alicyclic units and photoresist compositions comprising same
JP4838437B2 (ja) 2000-06-16 2011-12-14 Jsr株式会社 感放射線性樹脂組成物
US6692897B2 (en) 2000-07-12 2004-02-17 Fuji Photo Film Co., Ltd. Positive resist composition
EP1179750B1 (en) 2000-08-08 2012-07-25 FUJIFILM Corporation Positive photosensitive composition and method for producing a precision integrated circuit element using the same
EP1326138B1 (en) 2000-09-12 2014-11-05 PI R & D Co., Ltd. Negative photosensitive polyimide composition and method of forming image from the same
JP4390028B2 (ja) 2000-10-04 2009-12-24 日産化学工業株式会社 ポジ型感光性ポリイミド樹脂組成物
JP2002122987A (ja) 2000-10-16 2002-04-26 Kansai Paint Co Ltd ネガ型感光性樹脂組成物、ネガ型感光性ドライフィルム、その組成物を使用して得られる材料及びパターン形成方法
JP4034538B2 (ja) * 2000-10-31 2008-01-16 株式会社東芝 フォトレジスト用高分子化合物、単量体化合物、感光性樹脂組成物、これを用いたパターン形成方法、および電子部品の製造方法
US6548226B2 (en) 2001-02-09 2003-04-15 United Microelectronics Corp. Photolithographic process
JP2002357905A (ja) 2001-03-28 2002-12-13 Sumitomo Chem Co Ltd レジスト組成物
JP2002341525A (ja) 2001-05-14 2002-11-27 Fuji Photo Film Co Ltd ポジ型フォトレジスト転写材料およびそれを用いた基板表面の加工方法
EP1406123A4 (en) 2001-06-22 2006-06-07 Wako Pure Chem Ind Ltd RESIST COMPOSITIONS
JP3633595B2 (ja) 2001-08-10 2005-03-30 富士通株式会社 レジストパターン膨潤化材料およびそれを用いた微小パターンの形成方法および半導体装置の製造方法
TW561516B (en) 2001-11-01 2003-11-11 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
JP2003185820A (ja) 2001-12-21 2003-07-03 Jsr Corp 感放射線性屈折率変化性組成物および屈折率変化法
JP2005519346A (ja) 2002-03-06 2005-06-30 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 真空紫外で高い透明性を有するフッ素含有化合物
JP4025102B2 (ja) 2002-03-18 2007-12-19 富士フイルム株式会社 ポジ型レジスト組成物
US7344970B2 (en) 2002-04-11 2008-03-18 Shipley Company, L.L.C. Plating method
JP2004252146A (ja) 2002-05-27 2004-09-09 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物
JP4056345B2 (ja) * 2002-09-30 2008-03-05 富士フイルム株式会社 ポジ型レジスト組成物
US20030235775A1 (en) 2002-06-13 2003-12-25 Munirathna Padmanaban Photoresist composition for deep ultraviolet lithography comprising a mixture of photoactive compounds
JP3850767B2 (ja) 2002-07-25 2006-11-29 富士通株式会社 レジストパターン厚肉化材料、レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
JP4122189B2 (ja) 2002-08-13 2008-07-23 コダックグラフィックコミュニケーションズ株式会社 ネガ型感光性組成物およびネガ型感光性平版印刷版
WO2004027826A2 (en) 2002-09-18 2004-04-01 Mattson Technology, Inc. System and method for removing material
JP2004212946A (ja) 2002-10-21 2004-07-29 Rohm & Haas Electronic Materials Llc Siポリマー含有フォトレジスト
US6788477B2 (en) 2002-10-22 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for method for immersion lithography
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
JP2004170871A (ja) * 2002-11-22 2004-06-17 Fuji Photo Film Co Ltd ポジ型レジスト組成物
TW200424767A (en) 2003-02-20 2004-11-16 Tokyo Ohka Kogyo Co Ltd Immersion exposure process-use resist protection film forming material, composite film, and resist pattern forming method
US7029832B2 (en) 2003-03-11 2006-04-18 Samsung Electronics Co., Ltd. Immersion lithography methods using carbon dioxide
KR100548625B1 (ko) 2003-03-24 2006-01-31 주식회사 엘지화학 고내열성 투명 폴리이미드 전구체 및 이를 이용한 감광성수지 조성물
JP2005099646A (ja) 2003-03-28 2005-04-14 Tokyo Ohka Kogyo Co Ltd 液浸露光プロセス用レジスト組成物および該レジスト組成物を用いたレジストパターン形成方法
JP4287383B2 (ja) 2003-05-09 2009-07-01 富士通株式会社 レジストの加工方法及び半導体装置の製造方法
US7235348B2 (en) 2003-05-22 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
US7300739B2 (en) 2003-05-29 2007-11-27 International Business Machines Corporation Negative resists based on a acid-catalyzed elimination of polar molecules
JP4343597B2 (ja) 2003-06-25 2009-10-14 キヤノン株式会社 露光装置及びデバイス製造方法
JP4725739B2 (ja) 2003-06-26 2011-07-13 Jsr株式会社 フォトレジストポリマー組成物
SG109000A1 (en) 2003-07-16 2005-02-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP4533639B2 (ja) 2003-07-22 2010-09-01 富士フイルム株式会社 感刺激性組成物、化合物及び該感刺激性組成物を用いたパターン形成方法
US7779781B2 (en) 2003-07-31 2010-08-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4265766B2 (ja) 2003-08-25 2009-05-20 東京応化工業株式会社 液浸露光プロセス用レジスト保護膜形成用材料、該保護膜形成材料からなるレジスト保護膜、および該レジスト保護膜を用いたレジストパターン形成方法
TWI245163B (en) 2003-08-29 2005-12-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1531362A3 (en) 2003-11-13 2007-07-25 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing apparatus and pattern formation method
US7432042B2 (en) 2003-12-03 2008-10-07 United Microelectronics Corp. Immersion lithography process and mask layer structure applied in the same
US7460206B2 (en) 2003-12-19 2008-12-02 Carl Zeiss Smt Ag Projection objective for immersion lithography
US7088422B2 (en) 2003-12-31 2006-08-08 International Business Machines Corporation Moving lens for immersion optical lithography
KR101426181B1 (ko) 2004-01-15 2014-07-31 제이에스알 가부시끼가이샤 액침용 상층막 형성 조성물 및 포토레지스트 패턴 형성 방법
DE602005002571T2 (de) 2004-02-16 2008-01-31 Mitsubishi Gas Chemical Co., Inc. Photobasengenerator und härtbare Zusammensetzung
US7473512B2 (en) 2004-03-09 2009-01-06 Az Electronic Materials Usa Corp. Process of imaging a deep ultraviolet photoresist with a top coating and materials thereof
US20050214674A1 (en) 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
KR100887202B1 (ko) 2004-04-27 2009-03-06 도오꾜오까고오교 가부시끼가이샤 액침 노광 프로세스용 레지스트 보호막 형성용 재료, 및 이보호막을 이용한 레지스트 패턴 형성 방법
US20070207406A1 (en) 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7335456B2 (en) 2004-05-27 2008-02-26 International Business Machines Corporation Top coat material and use thereof in lithography processes
TWI368825B (en) 2004-07-07 2012-07-21 Fujifilm Corp Positive type resist composition for use in liquid immersion exposure and a method of forming the pattern using the same
JP4368266B2 (ja) 2004-07-30 2009-11-18 東京応化工業株式会社 レジスト保護膜形成用材料、およびこれを用いたレジストパターン形成方法
US7595141B2 (en) 2004-10-26 2009-09-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
US7320855B2 (en) 2004-11-03 2008-01-22 International Business Machines Corporation Silicon containing TARC/barrier layer
US7129016B2 (en) 2004-11-12 2006-10-31 International Business Machines Corporation Positive resist containing naphthol functionality
US7362412B2 (en) 2004-11-18 2008-04-22 International Business Machines Corporation Method and apparatus for cleaning a semiconductor substrate in an immersion lithography system
JP4485922B2 (ja) 2004-11-18 2010-06-23 東京応化工業株式会社 ネガ型レジスト組成物
JP4452608B2 (ja) 2004-12-22 2010-04-21 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
US7799883B2 (en) * 2005-02-22 2010-09-21 Promerus Llc Norbornene-type polymers, compositions thereof and lithographic process using such compositions
US7960087B2 (en) 2005-03-11 2011-06-14 Fujifilm Corporation Positive photosensitive composition and pattern-forming method using the same
US7470503B1 (en) 2005-04-29 2008-12-30 Infineon Technologies Ag Method for reducing lithography pattern defects
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US20060257785A1 (en) 2005-05-13 2006-11-16 Johnson Donald W Method of forming a photoresist element
JP2006317794A (ja) 2005-05-13 2006-11-24 Fuji Photo Film Co Ltd レジスト組成物及びそれを用いたパターン形成方法
US7927779B2 (en) 2005-06-30 2011-04-19 Taiwan Semiconductor Manufacturing Companym, Ltd. Water mark defect prevention for immersion lithography
EP1742108B1 (en) 2005-07-05 2015-10-28 Rohm and Haas Electronic Materials, L.L.C. Coating compositions for use with an overcoated photoresist
US7972761B2 (en) 2006-08-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and photolithography process
KR100688570B1 (ko) 2005-08-31 2007-03-02 삼성전자주식회사 식각 마스크 패턴 형성용 코팅 조성물 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
KR101207444B1 (ko) 2005-09-26 2012-12-03 주식회사 동진쎄미켐 포토레지스트용 모노머, 폴리머 및 이를 포함하는 포토레지스트 조성물
KR101207446B1 (ko) 2005-10-31 2012-12-03 주식회사 동진쎄미켐 포토레지스트용 폴리머 및 이를 포함하는 포토레지스트조성물
US7919222B2 (en) 2006-01-29 2011-04-05 Rohm And Haas Electronics Materials Llc Coating compositions for use with an overcoated photoresist
JP5151038B2 (ja) 2006-02-16 2013-02-27 富士通株式会社 レジストカバー膜形成材料、レジストパターンの形成方法、半導体装置及びその製造方法
US20080020289A1 (en) * 2006-07-24 2008-01-24 Shin-Etsu Chemical Co., Ltd. Novel polymer, positive resist composition and patterning process using the same
KR101423057B1 (ko) 2006-08-28 2014-07-25 닛산 가가쿠 고교 가부시키 가이샤 액상첨가제를 포함하는 레지스트 하층막 형성 조성물
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
TWI322928B (en) 2006-10-30 2010-04-01 Eternal Chemical Co Ltd Negative photosensitive polyimide polymer and uses thereof
JP4355011B2 (ja) 2006-11-07 2009-10-28 丸善石油化学株式会社 液浸リソグラフィー用共重合体及び組成物
TW200834662A (en) 2006-12-12 2008-08-16 Applied Materials Inc Wet photoresist stripping process and apparatus
JP4554665B2 (ja) 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
US8530148B2 (en) * 2006-12-25 2013-09-10 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
DE102006062035B4 (de) 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US7727705B2 (en) 2007-02-23 2010-06-01 Fujifilm Electronic Materials, U.S.A., Inc. High etch resistant underlayer compositions for multilayer lithographic processes
JP4877388B2 (ja) * 2007-03-28 2012-02-15 Jsr株式会社 ポジ型感放射線性組成物およびそれを用いたレジストパターン形成方法
US8669497B2 (en) 2007-03-30 2014-03-11 Tokyo Electron Limited Apparatus and method for predictive temperature correction during thermal processing
CN101308329B (zh) * 2007-04-06 2013-09-04 罗门哈斯电子材料有限公司 涂料组合物
JP4562784B2 (ja) 2007-04-13 2010-10-13 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられるレジスト組成物、現像液及びリンス液
US8029969B2 (en) 2007-05-14 2011-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Material and method for photolithography
US8476001B2 (en) 2007-05-15 2013-07-02 Fujifilm Corporation Pattern forming method
KR100989567B1 (ko) 2007-05-15 2010-10-25 후지필름 가부시키가이샤 패턴형성방법
JP5177137B2 (ja) 2007-05-23 2013-04-03 Jsr株式会社 レジスト下層膜形成用組成物
JP4590431B2 (ja) 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
JP4617337B2 (ja) 2007-06-12 2011-01-26 富士フイルム株式会社 パターン形成方法
US7582398B2 (en) 2007-06-13 2009-09-01 Xerox Corporation Inkless reimageable printing paper and method
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
US8329387B2 (en) 2008-07-08 2012-12-11 Az Electronic Materials Usa Corp. Antireflective coating compositions
JP5015892B2 (ja) 2008-10-02 2012-08-29 信越化学工業株式会社 ケイ素含有膜形成用組成物、ケイ素含有膜形成基板及びパターン形成方法
TWI400226B (zh) 2008-10-17 2013-07-01 Shinetsu Chemical Co 具有聚合性陰離子之鹽及高分子化合物、光阻劑材料及圖案形成方法
JP5572375B2 (ja) 2008-12-15 2014-08-13 富士フイルム株式会社 ネガ型現像用レジスト組成物、これを用いたパターン形成方法、レジスト膜、及び、パターン
US7959141B2 (en) 2008-12-23 2011-06-14 Sumitomo Heavy Industries, Ltd. Stage apparatus
US8257901B2 (en) 2009-03-10 2012-09-04 Lg Chem, Ltd. Polyimide-based polymers, copolymers thereof and positive type photoresist compositions comprising the same
JP5544212B2 (ja) 2009-04-27 2014-07-09 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法、化合物およびその製造方法、酸発生剤
US8791288B2 (en) 2009-05-26 2014-07-29 Shin-Etsu Chemical Co., Ltd. Acid-labile ester monomer having spirocyclic structure, polymer, resist composition, and patterning process
JP5445320B2 (ja) * 2009-05-29 2014-03-19 信越化学工業株式会社 化学増幅型レジスト材料及びパターン形成方法
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8586290B2 (en) 2009-10-23 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist composition
JP5375811B2 (ja) 2010-01-18 2013-12-25 信越化学工業株式会社 高分子化合物、レジスト材料、及びパターン形成方法
JP5771361B2 (ja) * 2010-04-22 2015-08-26 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物、及び、レジスト膜
KR20110118899A (ko) 2010-04-26 2011-11-02 삼성전자주식회사 보호막 형성용 조성물 및 이를 이용한 보호막의 형성 방법
US8772170B2 (en) 2010-09-01 2014-07-08 Arizona Board Of Regents On Behalf Of The University Of Arizona Enhanced stripping of implanted resists
JP5556773B2 (ja) * 2010-09-10 2014-07-23 信越化学工業株式会社 ナフタレン誘導体及びその製造方法、レジスト下層膜材料、レジスト下層膜形成方法及びパターン形成方法
WO2012036250A1 (ja) 2010-09-17 2012-03-22 Jsr株式会社 感放射線性樹脂組成物、重合体及びレジストパターン形成方法
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
EP2472325A1 (en) 2010-12-31 2012-07-04 Rohm and Haas Electronic Materials LLC Polymers, photoresist compositions and methods of forming photolithographic patterns
EP2472323A3 (en) 2010-12-31 2013-01-16 Rohm and Haas Electronic Materials LLC Polymerizable photoacid generators
JP5884521B2 (ja) 2011-02-09 2016-03-15 信越化学工業株式会社 パターン形成方法
JP5677127B2 (ja) 2011-02-18 2015-02-25 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法
JP2012196609A (ja) 2011-03-18 2012-10-18 Tokyo Electron Ltd 塗布処理方法および塗布処理装置
JP5785754B2 (ja) * 2011-03-30 2015-09-30 富士フイルム株式会社 パターン形成方法、及び、電子デバイスの製造方法
US8623589B2 (en) 2011-06-06 2014-01-07 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions and processes thereof
US9134617B2 (en) 2011-06-10 2015-09-15 Tokyo Ohka Kogyo Co., Ltd. Solvent developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
JP5836230B2 (ja) 2011-09-15 2015-12-24 富士フイルム株式会社 パターン形成方法、感電子線性又は感極紫外線性樹脂組成物、及び、レジスト膜、並びに、これらを用いた電子デバイスの製造方法
KR20130076364A (ko) 2011-12-28 2013-07-08 금호석유화학 주식회사 레지스트용 첨가제 및 이를 포함하는 레지스트 조성물
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
JP5712963B2 (ja) * 2012-04-26 2015-05-07 信越化学工業株式会社 高分子化合物、ポジ型レジスト材料並びにこれを用いたパターン形成方法
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US9468890B2 (en) * 2012-08-07 2016-10-18 Promerus, Llc Cycloalkylnorbornene monomers, polymers derived therefrom and their use in pervaporation
JP6276967B2 (ja) 2012-11-15 2018-02-07 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
JP5913077B2 (ja) * 2012-12-18 2016-04-27 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US10095113B2 (en) 2013-12-06 2018-10-09 Taiwan Semiconductor Manufacturing Company Photoresist and method
US9581908B2 (en) * 2014-05-16 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017222275A1 (ko) * 2016-06-24 2017-12-28 영창케미칼 주식회사 포토레지스트 패턴 축소 조성물과 패턴 축소 방법
US11294287B2 (en) 2016-06-24 2022-04-05 Young Chang Chemical Co., Ltd. Photoresist pattern shrinking composition and pattern shrinking method
KR20200111487A (ko) * 2019-03-19 2020-09-29 인하대학교 산학협력단 우수한 분산 안정성을 갖는 안료 분산액, 이의 제조방법, 및 이를 이용한 포토패터닝 방법

Also Published As

Publication number Publication date
KR20170118013A (ko) 2017-10-24
TW201708951A (zh) 2017-03-01
TWI567492B (zh) 2017-01-21
TWI628512B (zh) 2018-07-01
CN105093826A (zh) 2015-11-25
DE102014119645B4 (de) 2023-01-12
US20150331317A1 (en) 2015-11-19
CN105093826B (zh) 2020-01-14
US9581908B2 (en) 2017-02-28
US20170176857A1 (en) 2017-06-22
TW201545396A (zh) 2015-12-01
US10114286B2 (en) 2018-10-30
DE102014119645A1 (de) 2015-11-19

Similar Documents

Publication Publication Date Title
KR101833164B1 (ko) 갭 충전 물질 및 방법
KR20170118013A (ko) 포토레지스트 및 방법
US11029602B2 (en) Photoresist composition and method of forming photoresist pattern
US11073763B2 (en) Photoresist and method
KR20240028387A (ko) 포토레지스트 현상액 및 포토레지스트 현상 방법
KR101689439B1 (ko) 반사방지층 및 제조 방법
TW202001993A (zh) 形成光阻圖案的方法
TWI763098B (zh) 在光阻中形成圖案的方法、顯影的方法與光阻顯影劑組成物
US9599896B2 (en) Photoresist system and method
US10036953B2 (en) Photoresist system and method
CN110955112B (zh) 光阻组成物及形成光阻图案的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
A107 Divisional application of patent