JP2001520463A - エッチングチャンバ洗浄方法 - Google Patents
エッチングチャンバ洗浄方法Info
- Publication number
- JP2001520463A JP2001520463A JP2000517127A JP2000517127A JP2001520463A JP 2001520463 A JP2001520463 A JP 2001520463A JP 2000517127 A JP2000517127 A JP 2000517127A JP 2000517127 A JP2000517127 A JP 2000517127A JP 2001520463 A JP2001520463 A JP 2001520463A
- Authority
- JP
- Japan
- Prior art keywords
- chamber
- etching
- gas
- etching chamber
- cleaning gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 238000005530 etching Methods 0.000 title claims abstract description 229
- 238000000034 method Methods 0.000 title claims abstract description 181
- 238000004140 cleaning Methods 0.000 title claims abstract description 171
- 230000008569 process Effects 0.000 claims abstract description 108
- 239000000758 substrate Substances 0.000 claims abstract description 108
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 21
- 239000000203 mixture Substances 0.000 claims abstract description 19
- 230000003750 conditioning effect Effects 0.000 claims abstract description 13
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 13
- 229910052580 B4C Inorganic materials 0.000 claims abstract description 10
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 10
- INAHAJYZKVIDIZ-UHFFFAOYSA-N boron carbide Chemical compound B12B3B4C32B41 INAHAJYZKVIDIZ-UHFFFAOYSA-N 0.000 claims abstract description 10
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 claims abstract description 10
- 238000000151 deposition Methods 0.000 claims abstract description 10
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 10
- 229910003460 diamond Inorganic materials 0.000 claims abstract description 9
- 239000010432 diamond Substances 0.000 claims abstract description 9
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims abstract description 9
- 229910010271 silicon carbide Inorganic materials 0.000 claims abstract description 9
- 229910052582 BN Inorganic materials 0.000 claims abstract description 8
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 claims abstract description 8
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims abstract description 8
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 claims abstract description 8
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 claims abstract description 8
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 claims abstract description 8
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 claims abstract description 8
- 229910001928 zirconium oxide Inorganic materials 0.000 claims abstract description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 23
- 239000010703 silicon Substances 0.000 claims description 23
- 238000002347 injection Methods 0.000 claims description 22
- 239000007924 injection Substances 0.000 claims description 22
- 229910052710 silicon Inorganic materials 0.000 claims description 22
- 239000012190 activator Substances 0.000 claims description 16
- 238000009826 distribution Methods 0.000 claims description 12
- 238000012545 processing Methods 0.000 claims description 10
- 230000008021 deposition Effects 0.000 claims description 8
- 230000000694 effects Effects 0.000 claims description 4
- 230000015572 biosynthetic process Effects 0.000 claims description 3
- 238000004401 flow injection analysis Methods 0.000 claims 2
- 230000003213 activating effect Effects 0.000 claims 1
- 238000005507 spraying Methods 0.000 claims 1
- 239000000919 ceramic Substances 0.000 abstract description 37
- 239000007789 gas Substances 0.000 description 196
- 241000894007 species Species 0.000 description 35
- 238000005229 chemical vapour deposition Methods 0.000 description 15
- 239000000460 chlorine Substances 0.000 description 13
- 150000002500 ions Chemical class 0.000 description 13
- 238000006243 chemical reaction Methods 0.000 description 12
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 10
- 239000006227 byproduct Substances 0.000 description 10
- 238000005260 corrosion Methods 0.000 description 10
- 230000007797 corrosion Effects 0.000 description 10
- 229910052736 halogen Inorganic materials 0.000 description 9
- 150000002367 halogens Chemical class 0.000 description 9
- 239000000463 material Substances 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 9
- 239000002184 metal Substances 0.000 description 9
- 230000002829 reductive effect Effects 0.000 description 9
- 125000000524 functional group Chemical group 0.000 description 8
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 8
- 239000000126 substance Substances 0.000 description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 7
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 229910052801 chlorine Inorganic materials 0.000 description 6
- 230000001965 increasing effect Effects 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- 229910052799 carbon Inorganic materials 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 5
- 238000011065 in-situ storage Methods 0.000 description 5
- 230000007246 mechanism Effects 0.000 description 5
- 230000036961 partial effect Effects 0.000 description 5
- 235000012239 silicon dioxide Nutrition 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 4
- 238000001994 activation Methods 0.000 description 4
- 229940024548 aluminum oxide Drugs 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 229910010293 ceramic material Inorganic materials 0.000 description 4
- 238000011109 contamination Methods 0.000 description 4
- 238000000354 decomposition reaction Methods 0.000 description 4
- 238000006116 polymerization reaction Methods 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 229910052717 sulfur Inorganic materials 0.000 description 4
- 239000011593 sulfur Substances 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- 230000004913 activation Effects 0.000 description 3
- -1 aluminum Chemical class 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 230000005684 electric field Effects 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 230000009257 reactivity Effects 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 238000005406 washing Methods 0.000 description 3
- 229910018512 Al—OH Inorganic materials 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- 229910003902 SiCl 4 Inorganic materials 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 230000001143 conditioned effect Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- GWUAFYNDGVNXRS-UHFFFAOYSA-N helium;molecular oxygen Chemical compound [He].O=O GWUAFYNDGVNXRS-UHFFFAOYSA-N 0.000 description 2
- GVLGAFRNYJVHBC-UHFFFAOYSA-N hydrate;hydrobromide Chemical compound O.Br GVLGAFRNYJVHBC-UHFFFAOYSA-N 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 230000001976 improved effect Effects 0.000 description 2
- 230000001939 inductive effect Effects 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 230000000737 periodic effect Effects 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 230000000644 propagated effect Effects 0.000 description 2
- 238000005215 recombination Methods 0.000 description 2
- 230000006798 recombination Effects 0.000 description 2
- 230000001105 regulatory effect Effects 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910016569 AlF 3 Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 101150000715 DA18 gene Proteins 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 241000220317 Rosa Species 0.000 description 1
- 241000183290 Scleropages leichardti Species 0.000 description 1
- 229910008051 Si-OH Inorganic materials 0.000 description 1
- 229910006358 Si—OH Inorganic materials 0.000 description 1
- 239000004809 Teflon Substances 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- BGTFCAQCKWKTRL-YDEUACAXSA-N chembl1095986 Chemical compound C1[C@@H](N)[C@@H](O)[C@H](C)O[C@H]1O[C@@H]([C@H]1C(N[C@H](C2=CC(O)=CC(O[C@@H]3[C@H]([C@@H](O)[C@H](O)[C@@H](CO)O3)O)=C2C=2C(O)=CC=C(C=2)[C@@H](NC(=O)[C@@H]2NC(=O)[C@@H]3C=4C=C(C(=C(O)C=4)C)OC=4C(O)=CC=C(C=4)[C@@H](N)C(=O)N[C@@H](C(=O)N3)[C@H](O)C=3C=CC(O4)=CC=3)C(=O)N1)C(O)=O)=O)C(C=C1)=CC=C1OC1=C(O[C@@H]3[C@H]([C@H](O)[C@@H](O)[C@H](CO[C@@H]5[C@H]([C@@H](O)[C@H](O)[C@@H](C)O5)O)O3)O[C@@H]3[C@H]([C@@H](O)[C@H](O)[C@@H](CO)O3)O[C@@H]3[C@H]([C@H](O)[C@@H](CO)O3)O)C4=CC2=C1 BGTFCAQCKWKTRL-YDEUACAXSA-N 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 230000005281 excited state Effects 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 150000002366 halogen compounds Chemical class 0.000 description 1
- 238000007731 hot pressing Methods 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 229910001026 inconel Inorganic materials 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 229910021645 metal ion Inorganic materials 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 239000013618 particulate matter Substances 0.000 description 1
- 238000000678 plasma activation Methods 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000005381 potential energy Methods 0.000 description 1
- 239000000843 powder Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000003825 pressing Methods 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 238000010345 tape casting Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B41/00—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
- C04B41/009—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
- B08B7/0035—Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B41/00—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
- C04B41/53—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone involving the removal of at least part of the materials of the treated article, e.g. etching, drying of hardened concrete
- C04B41/5338—Etching
- C04B41/5346—Dry etching
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B41/00—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
- C04B41/80—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
- C04B41/91—After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics involving the removal of part of the materials of the treated articles, e.g. etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/905—Cleaning of reaction chamber
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Ceramic Engineering (AREA)
- Materials Engineering (AREA)
- Organic Chemistry (AREA)
- Structural Engineering (AREA)
- Physics & Mathematics (AREA)
- General Chemical & Material Sciences (AREA)
- Health & Medical Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Analytical Chemistry (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Plasma & Fusion (AREA)
- Public Health (AREA)
- Epidemiology (AREA)
- Optics & Photonics (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
(57)【要約】
エッチングチャンバ(30)を処理および調整し、エッチングチャンバ(30)の壁(45)とコンポーネント上の薄く不均一なエッチング残留物を洗浄するための装置(20)およびプロセスである。エッチング段階において、エッチングチャンバ(30)内で基板(25)がエッチングされて、チャンバ内の壁とコンポーネントの表面上に薄いエッチング残留物層が堆積する。洗浄段階において、エッチングチャンバ(30)付近のリモートチャンバ(40)内に洗浄ガスが導入され、リモートチャンバ内にマイクロ波または高周波エネルギーが加えられて活性洗浄ガスを形成する。エッチングチャンバの壁(45)とコンポーネント上のエッチング残留物を洗浄するために、高い流量における活性洗浄ガスの短い噴射がエッチングチャンバ(30)内に導入される。この方法は、チャンバ内の、窒化アルミニウム、炭化ホウ素、窒化ホウ素、ダイアモンド、酸化シリコン、炭化シリコン、窒化シリコン、酸化チタン、炭化チタン、酸化イットリウム、酸化ジルコニウム、またはこれらの混合物を含むセラミック表面に化学的に堆積したエッチング残留物を洗浄する場合に特に有益である。
Description
【0001】
本発明はエッチングチャンバに関し、また、基板をエッチングし、チャンバの
表面を洗浄および調整する方法に関する。
表面を洗浄および調整する方法に関する。
【0002】
集積回路の製造において、基板上の二酸化シリコン、多結晶シリコン、ケイ化
タングステン、金属の層を所定のパターンでエッチングして、ゲート、バイアス
、コンタクトホール、インターコネクトラインを形成する。エッチングプロセス
では、従来のフォトリソグラフィ方法を用いて、基板上に、パターン化した、酸
化ハードマスクのようなマスク層またはフォトレジスト層を形成し、また、基板
の露出した部分が、静電または誘導結合プラズマによってエッチングされる。一
般に使用されているエッチャントハロゲンガスには、CF4、SF6、NF3とい ったフッ素処理したガスと、Cl2、CCl4、SiCl4、BCl3といった塩素
処理したガス、HBr、Br、CH3Brといった臭素処理したガスが含まれる 。
タングステン、金属の層を所定のパターンでエッチングして、ゲート、バイアス
、コンタクトホール、インターコネクトラインを形成する。エッチングプロセス
では、従来のフォトリソグラフィ方法を用いて、基板上に、パターン化した、酸
化ハードマスクのようなマスク層またはフォトレジスト層を形成し、また、基板
の露出した部分が、静電または誘導結合プラズマによってエッチングされる。一
般に使用されているエッチャントハロゲンガスには、CF4、SF6、NF3とい ったフッ素処理したガスと、Cl2、CCl4、SiCl4、BCl3といった塩素
処理したガス、HBr、Br、CH3Brといった臭素処理したガスが含まれる 。
【0003】 エッチングプロセスでは、エッチングチャンバは、チャンバ内の壁やその他の
コンポーネント上に堆積する薄いエッチング残留物の層によって汚染される。エ
ッチング残留物層の組成物は、エッチャントガス、エッチングされる材料、基板
に加えるマスク層の組成によって変わる。シリコンをエッチングする場合には、
基板から気化またはスパッタしたシリコン含有気体種がチャンバ環境内にあり、
および金属種をエッチングする場合には、金属イオンがチャンバ環境内にある。
チャンバ内に気体炭化水素または酸素種を形成するために、基板上のレジストま
たはマスク層をエッチャントガスを用いて部分的にエッチングすることもできる
。これらの異なる種がチャンバ環境内で結合し、炭化水素、元素シリコンまたは
金属種を含有し、しばしば酸素、窒素、またはホウ素も含有する重合副次物を形
成する。重合副次物はチャンバの壁およびコンポーネント上に薄いエッチング残
留物の層として堆積する。エッチング残留物層の組成は、局所的に限定した気体
環境の組成に従って、チャンバ全体にかけて幅広く変えることができる。
コンポーネント上に堆積する薄いエッチング残留物の層によって汚染される。エ
ッチング残留物層の組成物は、エッチャントガス、エッチングされる材料、基板
に加えるマスク層の組成によって変わる。シリコンをエッチングする場合には、
基板から気化またはスパッタしたシリコン含有気体種がチャンバ環境内にあり、
および金属種をエッチングする場合には、金属イオンがチャンバ環境内にある。
チャンバ内に気体炭化水素または酸素種を形成するために、基板上のレジストま
たはマスク層をエッチャントガスを用いて部分的にエッチングすることもできる
。これらの異なる種がチャンバ環境内で結合し、炭化水素、元素シリコンまたは
金属種を含有し、しばしば酸素、窒素、またはホウ素も含有する重合副次物を形
成する。重合副次物はチャンバの壁およびコンポーネント上に薄いエッチング残
留物の層として堆積する。エッチング残留物層の組成は、局所的に限定した気体
環境の組成に従って、チャンバ全体にかけて幅広く変えることができる。
【0004】 基板の汚染を防止し、ムラのない均一な化学組成と表面機能を有する内部チャ
ンバ表面を提供するために、エッチングプロセス中に形成された不均一なエッチ
ング残留物層を定期的に洗浄しなければならない。さもないと、チャンバ内で実
施されるエッチングプロセスのエッチング特性が大きく変わってしまう可能性が
ある。従来の湿式洗浄プロセスでは、技師がエッチング装置を定期的に停止して
、酸性薬剤または溶剤を使ってチャンバの壁をこすり洗いしなければならない。
競争の激しい半導体業界では、エッチングチャンバのダウンタイムによって起こ
る基板1枚あたりのコストの増加は望ましくない。また、湿式洗浄プロセスは技
師が手作業で行うため、1セッションずつ異なってしまうことが多々あり、チャ
ンバ内で行われるエッチングプロセスの再生産性を制限してしまう。
ンバ表面を提供するために、エッチングプロセス中に形成された不均一なエッチ
ング残留物層を定期的に洗浄しなければならない。さもないと、チャンバ内で実
施されるエッチングプロセスのエッチング特性が大きく変わってしまう可能性が
ある。従来の湿式洗浄プロセスでは、技師がエッチング装置を定期的に停止して
、酸性薬剤または溶剤を使ってチャンバの壁をこすり洗いしなければならない。
競争の激しい半導体業界では、エッチングチャンバのダウンタイムによって起こ
る基板1枚あたりのコストの増加は望ましくない。また、湿式洗浄プロセスは技
師が手作業で行うため、1セッションずつ異なってしまうことが多々あり、チャ
ンバ内で行われるエッチングプロセスの再生産性を制限してしまう。
【0005】 一般に使用されている別のエッチングチャンバ洗浄方法では、エッチングチャ
ンバ内部で生成された、in-situイオン化プラズマを使用してチャンバの壁を洗 浄する。しかしながら、in-situイオン化プラズマ種は非常に強力で、チャンバ の壁とチャンバコンポーネントを急速に腐食してしまう。チャンバ内の腐食され
た部品とコンポーネントを定期的に交換する非常に経費がかかってしまう。また
、強力なプラズマ種によるチャンバ表面およびコンポーネントの表面腐食は、し
ばしばチャンバ内で次に実施されるプラズマエッチングプロセス段階の不安定性
と再生産性の欠如を引き起こしてしまう。例えば、チャンバ内の壁とコンポーネ
ントの露出した表面上の濃度、タイプ、または表面機能における変化は、これら
の表面上のガスおよび気体の粘着係数に影響を及ぼし、またその結果、チャンバ
内の気体プラズマエッチングの化学的性質にも影響してしまう。過剰に活発な表
面機能グループを有するチャンバ表面は、基板のエッチングに必要な気体化学種
の濃度を激減させてしまう。さらに、許容可能な洗浄値を達成するのに必要な比
較的高いプラズマ電力レベルは、システムコンポーネントを損傷し、チャンバの
内部表面を物理的に拭き取る以外に除去方法がない残留副次物を生成する傾向が
ある。例えば、アルミニウムチャンバ表面を洗浄するために使用されるNF3プ ラズマは、非化学プロセスではエッチングできないAlXFY化合物を形成してし
まう。別の例として、Si3N4 CVD堆積装置を洗浄するためにNF3ガスを 使用すると、NXHYF2化合物が形成され、これが排気ポンプまたは真空ポンプ に堆積して排気ポンプの信頼性に影響を及ぼす。
ンバ内部で生成された、in-situイオン化プラズマを使用してチャンバの壁を洗 浄する。しかしながら、in-situイオン化プラズマ種は非常に強力で、チャンバ の壁とチャンバコンポーネントを急速に腐食してしまう。チャンバ内の腐食され
た部品とコンポーネントを定期的に交換する非常に経費がかかってしまう。また
、強力なプラズマ種によるチャンバ表面およびコンポーネントの表面腐食は、し
ばしばチャンバ内で次に実施されるプラズマエッチングプロセス段階の不安定性
と再生産性の欠如を引き起こしてしまう。例えば、チャンバ内の壁とコンポーネ
ントの露出した表面上の濃度、タイプ、または表面機能における変化は、これら
の表面上のガスおよび気体の粘着係数に影響を及ぼし、またその結果、チャンバ
内の気体プラズマエッチングの化学的性質にも影響してしまう。過剰に活発な表
面機能グループを有するチャンバ表面は、基板のエッチングに必要な気体化学種
の濃度を激減させてしまう。さらに、許容可能な洗浄値を達成するのに必要な比
較的高いプラズマ電力レベルは、システムコンポーネントを損傷し、チャンバの
内部表面を物理的に拭き取る以外に除去方法がない残留副次物を生成する傾向が
ある。例えば、アルミニウムチャンバ表面を洗浄するために使用されるNF3プ ラズマは、非化学プロセスではエッチングできないAlXFY化合物を形成してし
まう。別の例として、Si3N4 CVD堆積装置を洗浄するためにNF3ガスを 使用すると、NXHYF2化合物が形成され、これが排気ポンプまたは真空ポンプ に堆積して排気ポンプの信頼性に影響を及ぼす。
【0006】 化学気相成長(CVD)プロセスでは、リモートチャンバ内でマイクロ波によ
って活性化され、さらに静電結合したプラズマin-situによってCVDチャンバ 内でエネルギーを与えられる洗浄ガスを、これらのチャンバ内に形成された比較
的厚く均一なCVD堆積層を洗浄するために使用してきた。従来のCVDプロセ
スでは、アルミニウムまたは二酸化シリコンのような材料の層を基板上に堆積さ
せるためにリアクタンス性ガスが使用される。この堆積プロセスの間、チャンバ
の壁および表面上に形成されたCVD堆積物は、しばしば厚いCVD層として基
板上に堆積される。CVD堆積物はさらに、基板上に堆積した材料と関連する比
較的一定で均一な化合物を含有している。厚く、化学的に均一なCVD堆積は、
ハイパワーのマイクロ波や静電結合プラズマによって洗浄することができる。こ
の例は、本明細書でも参照している米国特許第5,449,411号に説明され
ている。また、別の例では、一般に譲渡された欧州特許第555 546 A1
号が、シリコンのCVDと、NF3またはCF4/O2のマイクロ波プラズマを使 用したCVDチャンバの壁からのシリコン堆積物の除去を開示している。同様に
、ドイツ特許第4,132,559 A1号も、遠隔的に生成したNF3のマイ クロ波プラズマを使ったCVD堆積チャンバの洗浄方法を説明している。
って活性化され、さらに静電結合したプラズマin-situによってCVDチャンバ 内でエネルギーを与えられる洗浄ガスを、これらのチャンバ内に形成された比較
的厚く均一なCVD堆積層を洗浄するために使用してきた。従来のCVDプロセ
スでは、アルミニウムまたは二酸化シリコンのような材料の層を基板上に堆積さ
せるためにリアクタンス性ガスが使用される。この堆積プロセスの間、チャンバ
の壁および表面上に形成されたCVD堆積物は、しばしば厚いCVD層として基
板上に堆積される。CVD堆積物はさらに、基板上に堆積した材料と関連する比
較的一定で均一な化合物を含有している。厚く、化学的に均一なCVD堆積は、
ハイパワーのマイクロ波や静電結合プラズマによって洗浄することができる。こ
の例は、本明細書でも参照している米国特許第5,449,411号に説明され
ている。また、別の例では、一般に譲渡された欧州特許第555 546 A1
号が、シリコンのCVDと、NF3またはCF4/O2のマイクロ波プラズマを使 用したCVDチャンバの壁からのシリコン堆積物の除去を開示している。同様に
、ドイツ特許第4,132,559 A1号も、遠隔的に生成したNF3のマイ クロ波プラズマを使ったCVD堆積チャンバの洗浄方法を説明している。
【0007】 しかしながら、チャンバ内の厚く、化学量論的に均一なCVD堆積物用のCV
Dチャンバ洗浄プロセスは、エッチングチャンバの内部表面上に形成された薄く
、異なる化合物のエッチング残留物層の洗浄には適していない。薄いエッチング
残留物層は、残留物層を除去した後での洗浄プロセスの停止を困難にし、その結
果、その下のチャンバ表面を広範囲にわたって腐食してしまう。また、チャンバ
の異なる場所におけるエッチング残留物層の様々な化学量論と組成により、全て
の残留物を洗浄することが困難である。例えば、チャンバ流入部または排気部付
近に形成されたエッチング残留物は、基板付近に形成された、より高い濃度の重
合または酸化マスク種を含んでいるエッチング残留物よりも薄く、エッチャント
ガス種(またはエッチングされる材料)の濃度が高い。薄く柔軟な残留物層の下
のチャンバ壁部を腐食することなく、または逆に、厚く、化学的に硬質な残留物
層の洗浄残しをすることなく、様々な化学量論組成のエッチング残留物を均一に
エッチングすることができるプラズマまたはガスを生成することは非常に困難で
ある。これらの理由から、堆積チャンバ内のCVD堆積物の従来の洗浄方法は、
エッチングチャンバの壁やコンポーネント上に形成された極薄で組成の異なるエ
ッチング残留物層を、その下の壁およびコンポーネント表面を損傷または腐食す
ることなく洗浄するためには効果がない。
Dチャンバ洗浄プロセスは、エッチングチャンバの内部表面上に形成された薄く
、異なる化合物のエッチング残留物層の洗浄には適していない。薄いエッチング
残留物層は、残留物層を除去した後での洗浄プロセスの停止を困難にし、その結
果、その下のチャンバ表面を広範囲にわたって腐食してしまう。また、チャンバ
の異なる場所におけるエッチング残留物層の様々な化学量論と組成により、全て
の残留物を洗浄することが困難である。例えば、チャンバ流入部または排気部付
近に形成されたエッチング残留物は、基板付近に形成された、より高い濃度の重
合または酸化マスク種を含んでいるエッチング残留物よりも薄く、エッチャント
ガス種(またはエッチングされる材料)の濃度が高い。薄く柔軟な残留物層の下
のチャンバ壁部を腐食することなく、または逆に、厚く、化学的に硬質な残留物
層の洗浄残しをすることなく、様々な化学量論組成のエッチング残留物を均一に
エッチングすることができるプラズマまたはガスを生成することは非常に困難で
ある。これらの理由から、堆積チャンバ内のCVD堆積物の従来の洗浄方法は、
エッチングチャンバの壁やコンポーネント上に形成された極薄で組成の異なるエ
ッチング残留物層を、その下の壁およびコンポーネント表面を損傷または腐食す
ることなく洗浄するためには効果がない。
【0008】 従って、チャンバ内における活性洗浄ガスとエッチング残留物との化学反応を
最大限にし、チャンバ内の露出した表面と洗浄ガスとの反応性を最小限にする処
理プロセスが望ましい。さらに、化学的に付着したエッチャント堆積物を、チャ
ンバ内の化学的に能動な表面から除去し、これらの表面の本来の化学反応性と表
面機能グループを復活させるエッチングチャンバの処理方法がさらに望ましい。
また、チャンバの壁とコンポーネントを過度に腐食することなく、厚さが様々で
、化学量論が不均一なエッチング残留物を除去するチャンバ洗浄プロセスがさら
に望ましい。
最大限にし、チャンバ内の露出した表面と洗浄ガスとの反応性を最小限にする処
理プロセスが望ましい。さらに、化学的に付着したエッチャント堆積物を、チャ
ンバ内の化学的に能動な表面から除去し、これらの表面の本来の化学反応性と表
面機能グループを復活させるエッチングチャンバの処理方法がさらに望ましい。
また、チャンバの壁とコンポーネントを過度に腐食することなく、厚さが様々で
、化学量論が不均一なエッチング残留物を除去するチャンバ洗浄プロセスがさら
に望ましい。
【0009】
本発明は、安定性と再生産性が高いエッチングパフォーマンスを提供するため
に、エッチングチャンバ内で基板をエッチングし、エッチングチャンバの壁とコ
ンポーネントから、不均一で組成の異なるエッチング残留物層を除去し、チャン
バ内のセラミック表面を処理および調整する装置および方法を提供する。エッチ
ング段階において、エッチングチャンバ内で基板がエッチングされ、これにより
、薄いエッチング残留物層がエッチングチャンバ内の壁やコンポーネントの表面
上に堆積する。洗浄段階において、例えばリモートチャンバ内にマイクロ波また
は高周波エネルギーを加えることにより、エッチングチャンバに隣接するリモー
トチャンバ内で洗浄ガスが活性化される。エッチングチャンバ内の壁とコンポー
ネント上のエッチング残留物を洗浄するために、この活性洗浄ガスがエッチング
チャンバ内に導入される。この方法は、窒化アルミニウム、炭化ホウ素、窒化ホ
ウ素、ダイアモンド、酸化シリコン、炭化シリコン、窒化シリコン、酸化チタン
、炭化チタン、酸化イットリウム、酸化ジルコニウム、またはその混合物を含有
するセラミック表面に頑固に付着した、またはこの表面と化学反応を生じるエッ
チング残留物を洗浄するのに特に有益であることがわかっている。
に、エッチングチャンバ内で基板をエッチングし、エッチングチャンバの壁とコ
ンポーネントから、不均一で組成の異なるエッチング残留物層を除去し、チャン
バ内のセラミック表面を処理および調整する装置および方法を提供する。エッチ
ング段階において、エッチングチャンバ内で基板がエッチングされ、これにより
、薄いエッチング残留物層がエッチングチャンバ内の壁やコンポーネントの表面
上に堆積する。洗浄段階において、例えばリモートチャンバ内にマイクロ波また
は高周波エネルギーを加えることにより、エッチングチャンバに隣接するリモー
トチャンバ内で洗浄ガスが活性化される。エッチングチャンバ内の壁とコンポー
ネント上のエッチング残留物を洗浄するために、この活性洗浄ガスがエッチング
チャンバ内に導入される。この方法は、窒化アルミニウム、炭化ホウ素、窒化ホ
ウ素、ダイアモンド、酸化シリコン、炭化シリコン、窒化シリコン、酸化チタン
、炭化チタン、酸化イットリウム、酸化ジルコニウム、またはその混合物を含有
するセラミック表面に頑固に付着した、またはこの表面と化学反応を生じるエッ
チング残留物を洗浄するのに特に有益であることがわかっている。
【0010】 例えばシリコン含有層といったある特定の材料をエッチングする場合、一次重
合、酸素、シリコン含有種を含んでおり、厚さが約0.01から約1ミクロンの
比較的薄いエッチング残留物層がチャンバの壁と表面上に形成される。このよう
なエッチャント層は、高流量の活性洗浄ガスの短い噴射を、容積が約40,00
0cm3のチャンバに対して約200から約2000sccmと同等の流量FRで
、約0.5から約100秒の間エッチングチャンバ内に導入することで効果的に
除去できることがわかっている。
合、酸素、シリコン含有種を含んでおり、厚さが約0.01から約1ミクロンの
比較的薄いエッチング残留物層がチャンバの壁と表面上に形成される。このよう
なエッチャント層は、高流量の活性洗浄ガスの短い噴射を、容積が約40,00
0cm3のチャンバに対して約200から約2000sccmと同等の流量FRで
、約0.5から約100秒の間エッチングチャンバ内に導入することで効果的に
除去できることがわかっている。
【0011】 エッチングチャンバからのスループットを増加するためには、基板がエッチン
グチャンバの外に搬送されている間に、または搬送された直後に洗浄プロセスを
実行することが好ましい。また、チャンバ表面または基板表面を腐食することな
くチャンバ内の表面を洗浄および調整するためには、基板をチャンバ内に、また
はチャンバの外に搬送している間に、高流量の活性洗浄ガスの短い噴射を、短時
間の間エッチングチャンバ内に導入することが好ましい。次に、別の基板がチャ
ンバ内に導入され、基板の供給が終了するまで、エッチング、搬送、洗浄および
調整段階が繰り返される。好ましい形態において、チャンバの側壁および表面に
沿ってより多くの洗浄ガスの層流を供給するために、リモートチャンバはエッチ
ングチャンバよりも高圧に維持されている。
グチャンバの外に搬送されている間に、または搬送された直後に洗浄プロセスを
実行することが好ましい。また、チャンバ表面または基板表面を腐食することな
くチャンバ内の表面を洗浄および調整するためには、基板をチャンバ内に、また
はチャンバの外に搬送している間に、高流量の活性洗浄ガスの短い噴射を、短時
間の間エッチングチャンバ内に導入することが好ましい。次に、別の基板がチャ
ンバ内に導入され、基板の供給が終了するまで、エッチング、搬送、洗浄および
調整段階が繰り返される。好ましい形態において、チャンバの側壁および表面に
沿ってより多くの洗浄ガスの層流を供給するために、リモートチャンバはエッチ
ングチャンバよりも高圧に維持されている。
【0012】 さらに、本発明の別の面によれば、エッチング残留物と非常に反応しやすいエ
ッチングチャンバ表面の優れた洗浄および調整は、チャンバ内に活性ガスを、複
数の個別の段階において導入することで達成できることがわかっている。マルチ
サイクル調整プロセスは、(i)リモートチャンバ内のガスアクティベーターを
第1電力レベルに維持することにより形成された第1活性洗浄ガスを、エッチン
グチャンバ内に導入する第1段階と、(ii)リモートチャンバ内のガスアクテ
ィベーターを、第1電力レベルとは異なる第2電力レベルに維持することにより
形成された第2活性洗浄ガスを、エッチングチャンバ内に導入する第2段階を少
なくとも1つ備えている。第1段階における洗浄ガスは、基板付近のチャンバの
壁とコンポーネントにおける硬く厚いエッチング残留物を除去することができる
、より分解され化学反応性の高い種を含有している。第2洗浄段階における洗浄
ガスは、セラミック表面のような表面をより穏やかに処理および調整するために
、低電力レベルで活性化される。各洗浄段階の継続時間は約0.5から約100
秒間であり、より好ましくは約0.5から約24秒間である。マルチサイクルプ
ロセスは、チャンバの壁を処理し、エッチング残留物の濃度を所望のレベルにま
で減衰するのに十分な回数だけ繰り返すことが可能である。
ッチングチャンバ表面の優れた洗浄および調整は、チャンバ内に活性ガスを、複
数の個別の段階において導入することで達成できることがわかっている。マルチ
サイクル調整プロセスは、(i)リモートチャンバ内のガスアクティベーターを
第1電力レベルに維持することにより形成された第1活性洗浄ガスを、エッチン
グチャンバ内に導入する第1段階と、(ii)リモートチャンバ内のガスアクテ
ィベーターを、第1電力レベルとは異なる第2電力レベルに維持することにより
形成された第2活性洗浄ガスを、エッチングチャンバ内に導入する第2段階を少
なくとも1つ備えている。第1段階における洗浄ガスは、基板付近のチャンバの
壁とコンポーネントにおける硬く厚いエッチング残留物を除去することができる
、より分解され化学反応性の高い種を含有している。第2洗浄段階における洗浄
ガスは、セラミック表面のような表面をより穏やかに処理および調整するために
、低電力レベルで活性化される。各洗浄段階の継続時間は約0.5から約100
秒間であり、より好ましくは約0.5から約24秒間である。マルチサイクルプ
ロセスは、チャンバの壁を処理し、エッチング残留物の濃度を所望のレベルにま
で減衰するのに十分な回数だけ繰り返すことが可能である。
【0013】 また別の面において、本発明は、プロセスガスをエッチングチャンバ内に導入
するプロセスガス入口と、基板をエッチングするためにプロセスガスからプラズ
マを形成するプラズマ発生装置と、消費したプロセスガスをエッチングチャンバ
から排気する排気システムとを備えたエッチングチャンバを備えたエッチング装
置に関する。活性洗浄ガスの生成には、エッチングチャンバに隣接するリモート
チャンバが使用される。ガス分配システムは、(i)活性洗浄ガスをリモートチ
ャンバからエッチングチャンバへと搬送するガス管路と、(ii)活性洗浄ガス
の流れをチャンバの1つ以上の内部表面に、実質的に平行に且つ隣接するように
方向付けるガス流分配器と、(iii)ガス流分配器内への活性洗浄ガスの流れ
を調整するガス流調整器とを備えている。ガス流分配器は、薄いエッチング残留
物層を有するチャンバの一部を腐食することなく、より厚いエッチング残留物層
を優先的に除去するために、活性洗浄ガスの流れをこれらの範囲に方向付ける、
厚いエッチング残留物層を有するチャンバ内の表面に隣接して配置されたノズル
を備えていることが好ましい。
するプロセスガス入口と、基板をエッチングするためにプロセスガスからプラズ
マを形成するプラズマ発生装置と、消費したプロセスガスをエッチングチャンバ
から排気する排気システムとを備えたエッチングチャンバを備えたエッチング装
置に関する。活性洗浄ガスの生成には、エッチングチャンバに隣接するリモート
チャンバが使用される。ガス分配システムは、(i)活性洗浄ガスをリモートチ
ャンバからエッチングチャンバへと搬送するガス管路と、(ii)活性洗浄ガス
の流れをチャンバの1つ以上の内部表面に、実質的に平行に且つ隣接するように
方向付けるガス流分配器と、(iii)ガス流分配器内への活性洗浄ガスの流れ
を調整するガス流調整器とを備えている。ガス流分配器は、薄いエッチング残留
物層を有するチャンバの一部を腐食することなく、より厚いエッチング残留物層
を優先的に除去するために、活性洗浄ガスの流れをこれらの範囲に方向付ける、
厚いエッチング残留物層を有するチャンバ内の表面に隣接して配置されたノズル
を備えていることが好ましい。
【0014】 本発明のこれらおよびその他の特徴、性質、利点は、本発明の例を説明する図
面、説明、添付の請求項からより明白に理解される。以下に示す説明と図面は、
本発明の例証的な特徴を説明するものであり、これらの特徴の各々は、単に特定
の図面の状況のみにおいてだけでなく、本発明において一般的に使用できるもの
であり、また、本発明はこれらの特徴のあらゆる組み合わせを含む。
面、説明、添付の請求項からより明白に理解される。以下に示す説明と図面は、
本発明の例証的な特徴を説明するものであり、これらの特徴の各々は、単に特定
の図面の状況のみにおいてだけでなく、本発明において一般的に使用できるもの
であり、また、本発明はこれらの特徴のあらゆる組み合わせを含む。
【0015】
装置20は、図1に略図で示すように、本発明による基板25をエッチングす
るために適しており、基板を処理するためのプロセスゾーンを画定する密閉され
た注入チャンバ30、活性洗浄ガスを形成するリモートチャンバ40、基板のカ
セットを保持するために低圧に維持されているロードロックトランスファエリア
(図示せず)を備えている。ここで示す装置20特定の実施例は、半導体基板2
5の処理に適しており、また、本発明を説明するためのみに提供されており、本
発明の範囲を限定するために使用されてはならない。装置20の特別な特徴は、
1996年2月2日出願の米国特許明細書、出願番号第08/597,445号
"RF Plasma Reactor with Hybrid Condu ctor and Multi−Radius Dome Ceiling"と 、1993年2月15日出願の米国特許明細書、出願番号第08/389,88
9号に説明されている。これらの明細書については本明細書中で参照している。
密閉された注入チャンバ30は、金属、セラミック、ガラス、ポリマー、また複
合材料を含むさまざまな材料の内の1つで製造した側壁45と底部壁50とを備
えている。エッチングチャンバ30内に画定されたプロセスゾーンは、基板25
の直ぐ上にあってこれを包囲しており、また、容積が少なくとも約10,000
cm3、さらに好ましくは約10,000から約50,000cm3である。密閉
された注入チャンバ30を製造するためには、アルミニウム、陽極酸化アルミニ
ウム、"HAYNES 242"、"Al−6061"、"SS 304"、"SS 316"、INCONELを含む金属が一般に用いられるが、中でも陽極酸化ア ルミニウムが好ましい。
るために適しており、基板を処理するためのプロセスゾーンを画定する密閉され
た注入チャンバ30、活性洗浄ガスを形成するリモートチャンバ40、基板のカ
セットを保持するために低圧に維持されているロードロックトランスファエリア
(図示せず)を備えている。ここで示す装置20特定の実施例は、半導体基板2
5の処理に適しており、また、本発明を説明するためのみに提供されており、本
発明の範囲を限定するために使用されてはならない。装置20の特別な特徴は、
1996年2月2日出願の米国特許明細書、出願番号第08/597,445号
"RF Plasma Reactor with Hybrid Condu ctor and Multi−Radius Dome Ceiling"と 、1993年2月15日出願の米国特許明細書、出願番号第08/389,88
9号に説明されている。これらの明細書については本明細書中で参照している。
密閉された注入チャンバ30は、金属、セラミック、ガラス、ポリマー、また複
合材料を含むさまざまな材料の内の1つで製造した側壁45と底部壁50とを備
えている。エッチングチャンバ30内に画定されたプロセスゾーンは、基板25
の直ぐ上にあってこれを包囲しており、また、容積が少なくとも約10,000
cm3、さらに好ましくは約10,000から約50,000cm3である。密閉
された注入チャンバ30を製造するためには、アルミニウム、陽極酸化アルミニ
ウム、"HAYNES 242"、"Al−6061"、"SS 304"、"SS 316"、INCONELを含む金属が一般に用いられるが、中でも陽極酸化ア ルミニウムが好ましい。
【0016】 プロセスゾーンは、平坦、長方形、弓状、円錐形、ドーム型、またはマルチラ
ディアスドーム型のシーリング55を備えている。プラズマゾーン内でエッチャ
ントガスの分解を増加させるために、プラズマプロセスゾーンの容積全体にかけ
てプラズマソースパワーを一定に分配できるように、シーリング55はドーム型
であることが好ましい。この例として、1996年2月5日出願のMa等による
米国特許明細書、出願番号第08/596,960号"Plasma Proc ess for Etching Multicomponent Alloy
s"がある。これについては本明細書中で参照している。ドーム型シーリング5 5は、基板25付近での分解されたイオンの再結合損失を減少させ、これにより
平坦なシーリングと比べて、基板全体にかけてプラズマイオンの密度が一定にな
る。これは、イオン再結合損失がシーリング55の付近で起こり、ドーム型シー
リングは、平坦なシーリングよりも基板の中央60からの距離が長いためである
。ドーム型シーリング55は、プラーナ(すなわち平坦なドーム型)、円錐形、
切頭円錐形、円筒形、または、基板25上にドーム型の面を提供する、これらの
形の他の組み合わせであってよい。
ディアスドーム型のシーリング55を備えている。プラズマゾーン内でエッチャ
ントガスの分解を増加させるために、プラズマプロセスゾーンの容積全体にかけ
てプラズマソースパワーを一定に分配できるように、シーリング55はドーム型
であることが好ましい。この例として、1996年2月5日出願のMa等による
米国特許明細書、出願番号第08/596,960号"Plasma Proc ess for Etching Multicomponent Alloy
s"がある。これについては本明細書中で参照している。ドーム型シーリング5 5は、基板25付近での分解されたイオンの再結合損失を減少させ、これにより
平坦なシーリングと比べて、基板全体にかけてプラズマイオンの密度が一定にな
る。これは、イオン再結合損失がシーリング55の付近で起こり、ドーム型シー
リングは、平坦なシーリングよりも基板の中央60からの距離が長いためである
。ドーム型シーリング55は、プラーナ(すなわち平坦なドーム型)、円錐形、
切頭円錐形、円筒形、または、基板25上にドーム型の面を提供する、これらの
形の他の組み合わせであってよい。
【0017】 ガスフローメータ80を操作するプロセスガス供給源70とガスフロー制御シ
ステム75を含む分配システム65を介して、プロセスガスが注入チャンバ30
内に導入される。ガス分配システム65は、基板25(図示のとおり)の周囲に
配置したガス出口85か、または注入チャンバ30のシーリングに取り付けた、
内部に出口を備えた(図示せず)シャワーヘッドを設けることもできる。消費さ
れたプロセスガスとエッチャント副次物は、注入チャンバ30内で最低限の圧力
、約10-3mTorrを達成することが可能な排気部90(一般に、1000リ
ットル/秒のラフィングポンプを含む)を介して、プロセスチャンバ30から排
気される。排気部90内には、消費したプロセスガスの流れとチャンバ30内の
プロセスガスの圧力とを制御するためにスロットルバルブ95が設けられている
。基板の表面105全体にかけて、気体をより対称的および均一に分配するため
に、非対称ポンピングチャネル100を用いて、チャンバ30からガスを外に押
し出すことが好ましい。
ステム75を含む分配システム65を介して、プロセスガスが注入チャンバ30
内に導入される。ガス分配システム65は、基板25(図示のとおり)の周囲に
配置したガス出口85か、または注入チャンバ30のシーリングに取り付けた、
内部に出口を備えた(図示せず)シャワーヘッドを設けることもできる。消費さ
れたプロセスガスとエッチャント副次物は、注入チャンバ30内で最低限の圧力
、約10-3mTorrを達成することが可能な排気部90(一般に、1000リ
ットル/秒のラフィングポンプを含む)を介して、プロセスチャンバ30から排
気される。排気部90内には、消費したプロセスガスの流れとチャンバ30内の
プロセスガスの圧力とを制御するためにスロットルバルブ95が設けられている
。基板の表面105全体にかけて、気体をより対称的および均一に分配するため
に、非対称ポンピングチャネル100を用いて、チャンバ30からガスを外に押
し出すことが好ましい。
【0018】 電界をチャンバのプロセスゾーン内に結合するプラズマ発生装置110を用い
て、チャンバ30内に導入されたプロセスガスからプラズマが形成される。適切
なプラズマ発生装置110は、プロセスチャンバ30の中央を通ってのび、基板
25の平面に対して垂直な縦垂直軸と一致する中央軸と円形対称を持つ、1つ以
上のインダクタコイルから成るインダクタアンテナ115を備えている。インダ
クタアンテナ115は、巻数が1から10、より一般的には巻数が2から6であ
ることが好ましい。米国特許明細書第08/648,254号で説明されている
ように、プラズマとの近接結合との強力な誘導束連結を提供し、これにより、基
板25付近のプラズマゾーン内でより高いプラズマイオン密度を得るために、シ
ーリング55付近に所望の電流とアンテナターン(d/dt)(N=1)を備え
た製品を提供するべく、装置と多数のソレノイドセルが選択される。インダクタ
アンテナ115がドームシーリング55付近に配置されている場合には、チャン
バ30のシーリングは、高周波フィールドに対して透過性を有する、機械加工し
た二酸化シリコンの板のような、または湾曲した形状にするために互いに接着さ
れたシリコンまたは二酸化シリコンのタイルのような誘電体材料を備えている。
好ましくは、プロセスチャンバ30の側壁45の周囲を包囲するインダクタコイ
ル115は、イオン密度がインダクタコイル115付近の局所的なイオン化によ
って影響されるために、基板中央60にかけて直接プラズマイオン密度を高める
「平坦な」ドーム型をしたマルチラディアスドーム型のインダクタコイルであり
、マルチラディアスインダクタコイルは、半球形コイルよりも基板中央60に近
いほうがよい。別の好ましい実施例では、シーリング55は、少なくとも中央半
径Rとコーナー半径rとを有するマルチラディアスドームを備えており、ここで
、rは中央半径Rよりも小さく、R/rは約2から約10である。
て、チャンバ30内に導入されたプロセスガスからプラズマが形成される。適切
なプラズマ発生装置110は、プロセスチャンバ30の中央を通ってのび、基板
25の平面に対して垂直な縦垂直軸と一致する中央軸と円形対称を持つ、1つ以
上のインダクタコイルから成るインダクタアンテナ115を備えている。インダ
クタアンテナ115は、巻数が1から10、より一般的には巻数が2から6であ
ることが好ましい。米国特許明細書第08/648,254号で説明されている
ように、プラズマとの近接結合との強力な誘導束連結を提供し、これにより、基
板25付近のプラズマゾーン内でより高いプラズマイオン密度を得るために、シ
ーリング55付近に所望の電流とアンテナターン(d/dt)(N=1)を備え
た製品を提供するべく、装置と多数のソレノイドセルが選択される。インダクタ
アンテナ115がドームシーリング55付近に配置されている場合には、チャン
バ30のシーリングは、高周波フィールドに対して透過性を有する、機械加工し
た二酸化シリコンの板のような、または湾曲した形状にするために互いに接着さ
れたシリコンまたは二酸化シリコンのタイルのような誘電体材料を備えている。
好ましくは、プロセスチャンバ30の側壁45の周囲を包囲するインダクタコイ
ル115は、イオン密度がインダクタコイル115付近の局所的なイオン化によ
って影響されるために、基板中央60にかけて直接プラズマイオン密度を高める
「平坦な」ドーム型をしたマルチラディアスドーム型のインダクタコイルであり
、マルチラディアスインダクタコイルは、半球形コイルよりも基板中央60に近
いほうがよい。別の好ましい実施例では、シーリング55は、少なくとも中央半
径Rとコーナー半径rとを有するマルチラディアスドームを備えており、ここで
、rは中央半径Rよりも小さく、R/rは約2から約10である。
【0019】 さらに、磁気拡大したリアクタを使って、プラズマゾーン内で形成されたプラ
ズマを拡張することもできる。ここで、プラズマの密度と均一性を増すべくプラ
ズマゾーンに磁界を適用するために、永久磁石または電磁気コイルのような磁界
発生装置(図示せず)を使用する。本明細書中でも参照している1989年6月
27年出願の、米国特許第4,842,683号に説明されているように、磁界
は、基板25の平面に対して平行に回転する磁界の軸を有する回転磁界を備えて
いることが好ましい。チャンバ30内の磁界は、プラズマ内に形成されたイオン
の密度を高めるのに十分強力であり、CMOSゲートのような特徴へのチャージ
アップダメージを減少させるように十分に均一である。一般に、基板の表面10
5上で測定された磁界は500ガウスよりも小さく、さらに一般的には約10か
ら約100ガウスであり、最も一般的には約10ガウスから約30ガウスである
。
ズマを拡張することもできる。ここで、プラズマの密度と均一性を増すべくプラ
ズマゾーンに磁界を適用するために、永久磁石または電磁気コイルのような磁界
発生装置(図示せず)を使用する。本明細書中でも参照している1989年6月
27年出願の、米国特許第4,842,683号に説明されているように、磁界
は、基板25の平面に対して平行に回転する磁界の軸を有する回転磁界を備えて
いることが好ましい。チャンバ30内の磁界は、プラズマ内に形成されたイオン
の密度を高めるのに十分強力であり、CMOSゲートのような特徴へのチャージ
アップダメージを減少させるように十分に均一である。一般に、基板の表面10
5上で測定された磁界は500ガウスよりも小さく、さらに一般的には約10か
ら約100ガウスであり、最も一般的には約10ガウスから約30ガウスである
。
【0020】 インダクタアンテナ115に加えて、チャンバ30内のプラズマイオンを加速
するか或いはエネルギーを与えるために、1つ以上のプロセス電極を使用するこ
とができる。プロセス電極は第1電極120を備えている。第1電極はプロセス
チャンバ30の壁、例えばチャンバのシーリング55および/または側壁45を
形成する。第1電極120は、基板25の下にある第2電極125と静電的に結
合している。電極電圧供給源155が、第1電極120、第2電極125を互い
に異なる電位に維持する高周波電位を供給する。インダクタアンテナ115に加
えられる高周波電圧の周波数は、一般に約50KHzから約60MHzであり、
より一般には約13.56MHzであり、また、アンテナに加えられる高周波電
圧の電力レベルは約100から約5000ワットである。
するか或いはエネルギーを与えるために、1つ以上のプロセス電極を使用するこ
とができる。プロセス電極は第1電極120を備えている。第1電極はプロセス
チャンバ30の壁、例えばチャンバのシーリング55および/または側壁45を
形成する。第1電極120は、基板25の下にある第2電極125と静電的に結
合している。電極電圧供給源155が、第1電極120、第2電極125を互い
に異なる電位に維持する高周波電位を供給する。インダクタアンテナ115に加
えられる高周波電圧の周波数は、一般に約50KHzから約60MHzであり、
より一般には約13.56MHzであり、また、アンテナに加えられる高周波電
圧の電力レベルは約100から約5000ワットである。
【0021】 密閉されたチャンバ30は、異なる機能を果たす1つ以上のセラミック面を備
えている。例えば、ある実施例において、プロセスチャンバの壁45、50、5
5は、特にエッチャントガス合成物といった化学薬品による腐食から壁を保護す
るために、炭化ホウ素、窒化ホウ素、シリコン、酸化シリコン、炭化シリコン、
窒化シリコンのようなセラミック材料でコーティングされている。たとえば、炭
化ホウ素は、フッ素と化合したガス環境内において腐食からチャンバの側壁45
を保護するのに有益である。また、別の例として、チャンバ30内にプロセスガ
スを開放するために、サファイア(酸化アルミニウム)ガス分配板を使用するこ
とができる。
えている。例えば、ある実施例において、プロセスチャンバの壁45、50、5
5は、特にエッチャントガス合成物といった化学薬品による腐食から壁を保護す
るために、炭化ホウ素、窒化ホウ素、シリコン、酸化シリコン、炭化シリコン、
窒化シリコンのようなセラミック材料でコーティングされている。たとえば、炭
化ホウ素は、フッ素と化合したガス環境内において腐食からチャンバの側壁45
を保護するのに有益である。また、別の例として、チャンバ30内にプロセスガ
スを開放するために、サファイア(酸化アルミニウム)ガス分配板を使用するこ
とができる。
【0022】 チャンバ内で有益な別のセラミック面は、上に基板25を受けるためのセラミ
ック受容面140を備えた単一相セラミック部材135のものである。適切なセ
ラミック材料には、1つ以上の窒化アルミニウム、炭化ホウ素、ダイアモンド、
酸化シリコン、炭化シリコン、窒化シリコン、酸化チタン、炭化チタン、酸化イ
ットリウム、酸化ジルコニウムが含まれる。セラミック部材135は、約10%
よりも低い有孔性を有する低有孔性のセラミックから製造される。誘電性材料の
熱伝導性は、約80から約240W/mKといった高い伝導性を有する、例えば
ダイアモンドまたは窒化アルミニウムであることが好ましい。一体の単一相セラ
ミック部材を形成するべく、セラミック材料が第2電極を完全に包囲することが
できるように、第2電極125はセラミック部材135に埋め込まれている。第
2電極は、アルミニウム、銅、金、モリブデン、タンタル、チタン、タングステ
ン、そしてその合金のような伝導性金属から製造されており、さらに、セラミッ
ク部材135とそれに埋め込まれた電極125との熱燒結を許容する例えばタン
グステン、タンタル、モリブデンといった高融点の耐火金属であることがより好
ましい。電極125を埋め込んだセラミック部材135は、セラミックパウダと
低濃度の有機結合材料との混合物から、平衡加圧法、熱加圧法、鋳造法、テープ
キャスティング法で製造することができる。
ック受容面140を備えた単一相セラミック部材135のものである。適切なセ
ラミック材料には、1つ以上の窒化アルミニウム、炭化ホウ素、ダイアモンド、
酸化シリコン、炭化シリコン、窒化シリコン、酸化チタン、炭化チタン、酸化イ
ットリウム、酸化ジルコニウムが含まれる。セラミック部材135は、約10%
よりも低い有孔性を有する低有孔性のセラミックから製造される。誘電性材料の
熱伝導性は、約80から約240W/mKといった高い伝導性を有する、例えば
ダイアモンドまたは窒化アルミニウムであることが好ましい。一体の単一相セラ
ミック部材を形成するべく、セラミック材料が第2電極を完全に包囲することが
できるように、第2電極125はセラミック部材135に埋め込まれている。第
2電極は、アルミニウム、銅、金、モリブデン、タンタル、チタン、タングステ
ン、そしてその合金のような伝導性金属から製造されており、さらに、セラミッ
ク部材135とそれに埋め込まれた電極125との熱燒結を許容する例えばタン
グステン、タンタル、モリブデンといった高融点の耐火金属であることがより好
ましい。電極125を埋め込んだセラミック部材135は、セラミックパウダと
低濃度の有機結合材料との混合物から、平衡加圧法、熱加圧法、鋳造法、テープ
キャスティング法で製造することができる。
【0023】 一体の単一相セラミック部材135内に埋め込まれた第2電極125は、「ホ
ット」高周波電位が加えられるソールコンダクタであり、チャンバ内のその他の
電極は、第2電極125に対して、電気接地または浮動電位を含む異なる電位に
維持される。第2電極は一体形のセラミック部材135に埋め込まれているため
、追加の絶縁シールドでチャンバ30から電気的に絶縁される必要はなく、その
ため、第2電極125と接地したチャンバ壁45、50との間に起こるチャンバ
30内の寄生キャパシタンスインピーダンス負荷を減少することができる。さら
に、チャンバ30内には絶縁体シールドがないため、チャンバ底部50の全体に
かけてのびる直径を有する範囲をカバーするために、従来の陰極よりも広い能動
電極範囲を提供しながら、第2電極125の能動範囲を増やすことができる。第
2電極125はまた、静電チャック145としても機能する。静電チャックは、
第2電極125へと接続するためにセラミック部材135を通って挿入された電
気コンダクタ150を介して加えられるDCチャッキング電圧を用いて、セラミ
ック部材135の受容面140に基板25を静電的に保持するための静電荷を発
生する。
ット」高周波電位が加えられるソールコンダクタであり、チャンバ内のその他の
電極は、第2電極125に対して、電気接地または浮動電位を含む異なる電位に
維持される。第2電極は一体形のセラミック部材135に埋め込まれているため
、追加の絶縁シールドでチャンバ30から電気的に絶縁される必要はなく、その
ため、第2電極125と接地したチャンバ壁45、50との間に起こるチャンバ
30内の寄生キャパシタンスインピーダンス負荷を減少することができる。さら
に、チャンバ30内には絶縁体シールドがないため、チャンバ底部50の全体に
かけてのびる直径を有する範囲をカバーするために、従来の陰極よりも広い能動
電極範囲を提供しながら、第2電極125の能動範囲を増やすことができる。第
2電極125はまた、静電チャック145としても機能する。静電チャックは、
第2電極125へと接続するためにセラミック部材135を通って挿入された電
気コンダクタ150を介して加えられるDCチャッキング電圧を用いて、セラミ
ック部材135の受容面140に基板25を静電的に保持するための静電荷を発
生する。
【0024】 第1電極120、第2電極125は、第2電極125へプラズマが発生させる
高周波電圧を供給するAC電圧供給源160と、電極125へチャッキング電圧
を供給するDC電圧供給源165とを含む電極電圧供給源155によって、互い
に電気的にバイアスされている。AC電圧供給源160はチャンバ30内で静電
的に結合したプラズマを形成するために、1つ以上の13.56MHZから40
0KHzの周波数を有する電圧を発する高周波を供給する。電極125に加えら
れる高周波バイアス電流の電力レベルは、一般に約50Wから約3000Wであ
る。チャック145に基板25を保持する静電荷を形成するために、電極125
に別のDC電圧が加えられる。電極126にDCチャッキング電力を供給するた
めに、高周波電力がブリッジ回路、電気フィルタと結合する。
高周波電圧を供給するAC電圧供給源160と、電極125へチャッキング電圧
を供給するDC電圧供給源165とを含む電極電圧供給源155によって、互い
に電気的にバイアスされている。AC電圧供給源160はチャンバ30内で静電
的に結合したプラズマを形成するために、1つ以上の13.56MHZから40
0KHzの周波数を有する電圧を発する高周波を供給する。電極125に加えら
れる高周波バイアス電流の電力レベルは、一般に約50Wから約3000Wであ
る。チャック145に基板25を保持する静電荷を形成するために、電極125
に別のDC電圧が加えられる。電極126にDCチャッキング電力を供給するた
めに、高周波電力がブリッジ回路、電気フィルタと結合する。
【0025】 エッチング装置20はさらに、石英管のようなリモートチャンバ40を備えて
いる。リモートチャンバは、エッチングチャンバ30のプロセスゾーン付近で、
ガス管路170を介してこれと接続している。リモートチャンバ40は、イオン
化または分解によって洗浄ガスを活性するために、チャンバ30内へのマイクロ
波または高周波エネルギーの供給に使用されるガスアクティベーター175を備
えている。マイクロ波エネルギーが供給されると、洗浄ガスが分解されて非負荷
の原子を形成する。例えば、Cl2が分解して塩素を形成する。例えば誘導また は静電結合によって、リモートチャンバ40に高周波エネルギーが加えられると
、リモートチャンバ内で洗浄ガスが負荷およびイオン化された化学種を形成する
。
いる。リモートチャンバは、エッチングチャンバ30のプロセスゾーン付近で、
ガス管路170を介してこれと接続している。リモートチャンバ40は、イオン
化または分解によって洗浄ガスを活性するために、チャンバ30内へのマイクロ
波または高周波エネルギーの供給に使用されるガスアクティベーター175を備
えている。マイクロ波エネルギーが供給されると、洗浄ガスが分解されて非負荷
の原子を形成する。例えば、Cl2が分解して塩素を形成する。例えば誘導また は静電結合によって、リモートチャンバ40に高周波エネルギーが加えられると
、リモートチャンバ内で洗浄ガスが負荷およびイオン化された化学種を形成する
。
【0026】 ガスアクティベーター175は、高度に分解されたガスの形成によってリモー
トチャンバ内の洗浄および調整ガスを化学的に活性するマイクロ波を供給するこ
とが好ましい。この場合、図1に略図的に示しているように、ガスアクティベー
ター175はマイクロ波導波管180を備えている。このマイクロ波導波管は、
マサチューセッツ州ウーバンにあるApplied Science & Te
chnology社から販売されている"ASTEX"マイクロ波プラズマ発生装
置のようなマイクロ波発生装置185によって動力供給される。一般に、マイク
ロ波発生装置185は、マイクロ波チューニングアセンブリ190と、2.54
GHzの周波数においてマイクロ波を発生することができるマグネトロンマイク
ロ波発生装置195とを備えている。一般に、マグネトロン195は強力なマイ
クロ波発振器を備えている。このマイクロ波発振器において、中央陰極付近の電
子雲の位置エネルギーが、陰極周囲で放射状に離間した一連の空洞共振器内でマ
イクロ波エネルギーに転換される。マグネトロン195の共振周波数は、共振器
の空洞の物理寸法によって決定される。
トチャンバ内の洗浄および調整ガスを化学的に活性するマイクロ波を供給するこ
とが好ましい。この場合、図1に略図的に示しているように、ガスアクティベー
ター175はマイクロ波導波管180を備えている。このマイクロ波導波管は、
マサチューセッツ州ウーバンにあるApplied Science & Te
chnology社から販売されている"ASTEX"マイクロ波プラズマ発生装
置のようなマイクロ波発生装置185によって動力供給される。一般に、マイク
ロ波発生装置185は、マイクロ波チューニングアセンブリ190と、2.54
GHzの周波数においてマイクロ波を発生することができるマグネトロンマイク
ロ波発生装置195とを備えている。一般に、マグネトロン195は強力なマイ
クロ波発振器を備えている。このマイクロ波発振器において、中央陰極付近の電
子雲の位置エネルギーが、陰極周囲で放射状に離間した一連の空洞共振器内でマ
イクロ波エネルギーに転換される。マグネトロン195の共振周波数は、共振器
の空洞の物理寸法によって決定される。
【0027】 第2ガス供給システム200は、電子操作されるバルブ205と、使用者が選
択した流量における流動制御機構とを介して、リモートチャンバ40に洗浄ガス
を供給する。次に、マイクロ波を発生させるために、マイクロ波発生装置制御シ
ステムがマイクロ波発生装置185に電力を供給し、発生したマイクロ波が導波
管180によってリモートチャンバ40へと伝搬される。活性洗浄ガスは、ガス
管路を備えたガス分配システムを介してリモートチャンバ40からエッチングチ
ャンバ30へと伝搬される。任意で、管路170内にフィルタが配置される。活
性ガスがエッチングチャンバ30に入る前に管路170内に配置されたフィルタ
を通過することにより、反応種の活性中に形成された微粒子物質が除去される。
説明した実施例において、フィルタは、約0.01から0.03ミクロンの孔を
備えたセラミック材料で製造されている。この他にも、テフロン(TM DuP
ont de Nemours社)、ポリイミド、不活性炭素、または硫黄とい
った材料も使用できる。例えば、洗浄ガスがCF4またはSF6、またはその他の
炭素または硫黄を含むハロゲン化合物を有しており、硫黄種の活性炭素が活性化
処理の副次物として現れる。一般的に、エッチングチャンバ環境の汚染を防止す
るために、このような炭素または硫黄生成物を除去することが望ましい。
択した流量における流動制御機構とを介して、リモートチャンバ40に洗浄ガス
を供給する。次に、マイクロ波を発生させるために、マイクロ波発生装置制御シ
ステムがマイクロ波発生装置185に電力を供給し、発生したマイクロ波が導波
管180によってリモートチャンバ40へと伝搬される。活性洗浄ガスは、ガス
管路を備えたガス分配システムを介してリモートチャンバ40からエッチングチ
ャンバ30へと伝搬される。任意で、管路170内にフィルタが配置される。活
性ガスがエッチングチャンバ30に入る前に管路170内に配置されたフィルタ
を通過することにより、反応種の活性中に形成された微粒子物質が除去される。
説明した実施例において、フィルタは、約0.01から0.03ミクロンの孔を
備えたセラミック材料で製造されている。この他にも、テフロン(TM DuP
ont de Nemours社)、ポリイミド、不活性炭素、または硫黄とい
った材料も使用できる。例えば、洗浄ガスがCF4またはSF6、またはその他の
炭素または硫黄を含むハロゲン化合物を有しており、硫黄種の活性炭素が活性化
処理の副次物として現れる。一般的に、エッチングチャンバ環境の汚染を防止す
るために、このような炭素または硫黄生成物を除去することが望ましい。
【0028】 マイクロ波のかわりに、リモートチャンバ40内、またはその付近にある静電
または誘電結合したソースから供給される高周波エネルギーを用いて洗浄ガスを
活性化することもできる。図4に示すように、適切な高周波エネルギータイプガ
スアクティベーターはインダクタアンテナを備えている。このインダクタアンテ
ナは、リモートチャンバ40の中心を通ってのびる縦垂直軸と一致する中心軸に
対して円形の対称性を有する1つ以上のインダクタコイルから成っている。ある
いは、ガスアクティベーターは、図3に示すように、チャンバ40内に静電結合
フィールドを形成するためにリモートチャンバ40内に配置された1対の電極を
備えていてもよい。
または誘電結合したソースから供給される高周波エネルギーを用いて洗浄ガスを
活性化することもできる。図4に示すように、適切な高周波エネルギータイプガ
スアクティベーターはインダクタアンテナを備えている。このインダクタアンテ
ナは、リモートチャンバ40の中心を通ってのびる縦垂直軸と一致する中心軸に
対して円形の対称性を有する1つ以上のインダクタコイルから成っている。ある
いは、ガスアクティベーターは、図3に示すように、チャンバ40内に静電結合
フィールドを形成するためにリモートチャンバ40内に配置された1対の電極を
備えていてもよい。
【0029】 ガス分配システムはさらに、活性洗浄ガスの流れをチャンバ30の1つ以上の
内部表面に、実質的に平行に且つ隣接するように方向付けるガス流分配器215
と、活性洗浄ガスの流れをガス流分配器215内へと調整するためのガス流調整
器220とを備えている。ガス流調整器は、リモートチャンバ40への洗浄ガス
の流れを、所定のまたは使用者が設定したガス流量で制御するために、従来のコ
ンピュータ制御システム230で操作する流れ制御バルブ205または225を
備えている。任意で、別のバルブと流動制御機構(図示せず)を介して、キャリ
アガスソースをリモート活性チャンバ40に接続することもできる。キャリアガ
スは、活性洗浄ガスをエッチングチャンバ30へ搬送する助けをするものであり
、また、特定の洗浄プロセスに対して非反応であるか、またはこれと適合するあ
らゆる従来のガスであってよい。例えば、適切なキャリアガスは、アルゴン、窒
素、ヘリウム、ハロゲン、または酸素であってよい。キャリアガスはまた、エッ
チングチャンバ30内で活性化した気体種を開始および/または安定させること
により、洗浄プロセスの補助の役割を果たす。
内部表面に、実質的に平行に且つ隣接するように方向付けるガス流分配器215
と、活性洗浄ガスの流れをガス流分配器215内へと調整するためのガス流調整
器220とを備えている。ガス流調整器は、リモートチャンバ40への洗浄ガス
の流れを、所定のまたは使用者が設定したガス流量で制御するために、従来のコ
ンピュータ制御システム230で操作する流れ制御バルブ205または225を
備えている。任意で、別のバルブと流動制御機構(図示せず)を介して、キャリ
アガスソースをリモート活性チャンバ40に接続することもできる。キャリアガ
スは、活性洗浄ガスをエッチングチャンバ30へ搬送する助けをするものであり
、また、特定の洗浄プロセスに対して非反応であるか、またはこれと適合するあ
らゆる従来のガスであってよい。例えば、適切なキャリアガスは、アルゴン、窒
素、ヘリウム、ハロゲン、または酸素であってよい。キャリアガスはまた、エッ
チングチャンバ30内で活性化した気体種を開始および/または安定させること
により、洗浄プロセスの補助の役割を果たす。
【0030】 ガス流分配器215は、活性洗浄ガスの流れをチャンバの側壁45の表面、底
部壁50またはコンポーネントの表面といったチャンバ30の内部の1つ以上の
表面に、概して平行に又は隣接して方向付ける。洗浄ガスの流れを特定のチャン
バ表面と実質的に平行に向けることにより、これらの表面付近に、洗浄ガスのよ
り集中した層流を提供することができ、エッチング残留物を除去し、チャンバ表
面をより効果的に扱い、調整することができる。図3に示す形態では、ガス分配
器は、チャンバ30の中央軸周囲に対称的に配置された複数のガス注入ノズル2
35a、b、cを備えている。これらのノズルは、チャンバ壁に残ったエッチン
グ残留物をよりきれいに除去するために、エッチングチャンバ30の表面を通っ
たすぐの位置に、また表面付近に、そして表面と実質的に平行にガスの層流カー
テンを提供するためのものである。ガス注入ノズル235a、b、cは、凝縮度
が高くて厚く、また化学的により複雑に合成されたエッチング残留物が残留して
いるチャンバの壁と表面に沿って、残留物があまり付いていない部分を過度に腐
食しながら、残留物の多い表面を優先的に洗浄および調整するために方向付けら
れた、洗浄ガスの流れのパターンまたは流路を提供する。
部壁50またはコンポーネントの表面といったチャンバ30の内部の1つ以上の
表面に、概して平行に又は隣接して方向付ける。洗浄ガスの流れを特定のチャン
バ表面と実質的に平行に向けることにより、これらの表面付近に、洗浄ガスのよ
り集中した層流を提供することができ、エッチング残留物を除去し、チャンバ表
面をより効果的に扱い、調整することができる。図3に示す形態では、ガス分配
器は、チャンバ30の中央軸周囲に対称的に配置された複数のガス注入ノズル2
35a、b、cを備えている。これらのノズルは、チャンバ壁に残ったエッチン
グ残留物をよりきれいに除去するために、エッチングチャンバ30の表面を通っ
たすぐの位置に、また表面付近に、そして表面と実質的に平行にガスの層流カー
テンを提供するためのものである。ガス注入ノズル235a、b、cは、凝縮度
が高くて厚く、また化学的により複雑に合成されたエッチング残留物が残留して
いるチャンバの壁と表面に沿って、残留物があまり付いていない部分を過度に腐
食しながら、残留物の多い表面を優先的に洗浄および調整するために方向付けら
れた、洗浄ガスの流れのパターンまたは流路を提供する。
【0031】 図4に示す別の形態では、ガス分配器215は1つ以上のガス注入ノズル23
5を備えており、このノズルは、チャンバ30内のガス拡散または流れ再方向付
け板240の後ろに配置されている。ガス拡散板240は、チャンバ内で、好ま
しくはチャンバ30の中心軸と実質的に整列した垂直中心軸を中心にして対称的
に配置されている。板240はガス注入のノズル235を覆っており、また、板
240と、チャンバの板240付近の表面の平行部分との間に画定された溝にお
いて、注入ノズル235から放出された洗浄ガスの流れを偏向させ、再度方向付
けを行う。ガス拡張板240は、チャンバ表面から予め画定された距離を置いて
離間し、所定の高さの溝を画定している。洗浄ガスは、板240と、チャンバ表
面と実質的に平行なチャンバ表面とによって画定された環状およびリング状の出
口から排気され、エッチングチャンバ壁の表面に沿った層流路に流入する。
5を備えており、このノズルは、チャンバ30内のガス拡散または流れ再方向付
け板240の後ろに配置されている。ガス拡散板240は、チャンバ内で、好ま
しくはチャンバ30の中心軸と実質的に整列した垂直中心軸を中心にして対称的
に配置されている。板240はガス注入のノズル235を覆っており、また、板
240と、チャンバの板240付近の表面の平行部分との間に画定された溝にお
いて、注入ノズル235から放出された洗浄ガスの流れを偏向させ、再度方向付
けを行う。ガス拡張板240は、チャンバ表面から予め画定された距離を置いて
離間し、所定の高さの溝を画定している。洗浄ガスは、板240と、チャンバ表
面と実質的に平行なチャンバ表面とによって画定された環状およびリング状の出
口から排気され、エッチングチャンバ壁の表面に沿った層流路に流入する。
【0032】 図5に示すさらに別の形態において、ガス分配器215は、チャネル250の
終端に注入ノズル235a、bを備えている。これらのノズルはチャンバ30の
中心軸周囲に対称的に配置されている。チャンバ30の特定の表面に沿って、ま
たこれを通過して洗浄ガスの流れを方向付ける円周カラーを形成するべく、チャ
ネル250の1部から離間して環状のレッジ255が配置され、チャネル250
の1部を覆っている。これによって、これら表面の再調整および処置を行う。図
4のガス板を使用する形態では、チャンバ表面付近に設けられたチャネル250
の環状開口部によって、洗浄ガスのチャンバ表面を通過する強制的な流れが供給
される。
終端に注入ノズル235a、bを備えている。これらのノズルはチャンバ30の
中心軸周囲に対称的に配置されている。チャンバ30の特定の表面に沿って、ま
たこれを通過して洗浄ガスの流れを方向付ける円周カラーを形成するべく、チャ
ネル250の1部から離間して環状のレッジ255が配置され、チャネル250
の1部を覆っている。これによって、これら表面の再調整および処置を行う。図
4のガス板を使用する形態では、チャンバ表面付近に設けられたチャネル250
の環状開口部によって、洗浄ガスのチャンバ表面を通過する強制的な流れが供給
される。
【0033】 ガス流分配器215は、チャンバ30内の、エッチ析出物の層が厚く堆積して
いる表面付近に配置されたガス注入ノズル235を備えていることが好ましい。
これらのノズル235は、チャンバ30の析出物層が薄い部分を腐食することな
く、より厚いエッチング残留物の層を優先的に除去するために、活性洗浄ガスの
流れをこれらの範囲に向けるためのものである。これは、チャンバ30の表面に
かけての、幅広い合成物または厚みのエッチング残留物析出物層を生じるプロセ
スとエッチングチャンバにとっては特に有益である。一般に、エッチング残留物
がより厚く堆積する範囲は基板付近であり、基板付近では、より多量のレジスト
またはマスクが基板から気化し、チャンバ表面上で固体化する。例えば、図6に
示す好ましい形態において、ガス注入ノズル235a、bは、基板25を包囲し
、チャンバ30の底壁50からのびている円の中に配置されている。エッチング
残留物は基板上でレジストまたは酸化マスクの固形化した副次物であるため、こ
の形態は、基板の隣に位置するチャンバン表面付近に多量のエッチング残留物が
形成されるエッチングプロセスにとって好ましい。同様に、ガス注入ノズル23
5a、bをチャンバ30の別の部分に配置することもできる。ノズルを配置する
部分は、各プロセスの各タイプについて、分配とチャンバ表面にかけてのエッチ
ング残留物から決定する。
いる表面付近に配置されたガス注入ノズル235を備えていることが好ましい。
これらのノズル235は、チャンバ30の析出物層が薄い部分を腐食することな
く、より厚いエッチング残留物の層を優先的に除去するために、活性洗浄ガスの
流れをこれらの範囲に向けるためのものである。これは、チャンバ30の表面に
かけての、幅広い合成物または厚みのエッチング残留物析出物層を生じるプロセ
スとエッチングチャンバにとっては特に有益である。一般に、エッチング残留物
がより厚く堆積する範囲は基板付近であり、基板付近では、より多量のレジスト
またはマスクが基板から気化し、チャンバ表面上で固体化する。例えば、図6に
示す好ましい形態において、ガス注入ノズル235a、bは、基板25を包囲し
、チャンバ30の底壁50からのびている円の中に配置されている。エッチング
残留物は基板上でレジストまたは酸化マスクの固形化した副次物であるため、こ
の形態は、基板の隣に位置するチャンバン表面付近に多量のエッチング残留物が
形成されるエッチングプロセスにとって好ましい。同様に、ガス注入ノズル23
5a、bをチャンバ30の別の部分に配置することもできる。ノズルを配置する
部分は、各プロセスの各タイプについて、分配とチャンバ表面にかけてのエッチ
ング残留物から決定する。
【0034】
次に、基板25上の1つ以上のシリコン接触層をエッチングするためのエッチ
ングチャンバ30の操作について、図2のフローチャートを参照しながら説明す
る。一般に、基板25は、シリコンまたはヒ化ガリウムウェハのような半導体材
料と、この上に形成された複数の層とを備えている。基板25上の層は、例えば
、MOSトランジスタ用のゲート酸化物層として機能する酸化シリコンの下層と
、多結晶シリコンまたはパターン化したポリサイド(タングステンシリコンとそ
の下の多結晶シリコン層との組み合わせ)の上層を備えている。一般に、各層の
厚みは約100nmから約350nmである。DuPont de Nemou
rs Chemical社製の"RISTON"フォトレジストのようなマスク層
または酸化ハードマスクが基板25上に加えられることで厚みが約0.4から約
1.3ミクロンになり、従来のフォトリソグラフィプロセスを用いて、層内でエ
ッチングされる特徴が画定される。特徴を形成するために、マスク層の間の露出
した部分がエッチングされる。この特徴とは例えば、MOSトランジスタ用のゲ
ート電極を組み立てるためのコンタクトホール;ゲート電極として一般に使用さ
れるポリサイドインターコネクション特徴;これらを介して、シリコン酸化/窒
化層を絶縁することによって拡散された2つまたはそれ以上の電気伝導層を電気
接続するために使用されるマルチレイヤ金属構造である。
ングチャンバ30の操作について、図2のフローチャートを参照しながら説明す
る。一般に、基板25は、シリコンまたはヒ化ガリウムウェハのような半導体材
料と、この上に形成された複数の層とを備えている。基板25上の層は、例えば
、MOSトランジスタ用のゲート酸化物層として機能する酸化シリコンの下層と
、多結晶シリコンまたはパターン化したポリサイド(タングステンシリコンとそ
の下の多結晶シリコン層との組み合わせ)の上層を備えている。一般に、各層の
厚みは約100nmから約350nmである。DuPont de Nemou
rs Chemical社製の"RISTON"フォトレジストのようなマスク層
または酸化ハードマスクが基板25上に加えられることで厚みが約0.4から約
1.3ミクロンになり、従来のフォトリソグラフィプロセスを用いて、層内でエ
ッチングされる特徴が画定される。特徴を形成するために、マスク層の間の露出
した部分がエッチングされる。この特徴とは例えば、MOSトランジスタ用のゲ
ート電極を組み立てるためのコンタクトホール;ゲート電極として一般に使用さ
れるポリサイドインターコネクション特徴;これらを介して、シリコン酸化/窒
化層を絶縁することによって拡散された2つまたはそれ以上の電気伝導層を電気
接続するために使用されるマルチレイヤ金属構造である。
【0035】 本発明のプロセスを実行するためには、ロボットアーム257を使用して、基
板25をロードロックチャンバから、スリットバルブを介してトランスファチャ
ンバへ、そしてチャンバ30内へ搬送する。リフトフィンガ装置(図示せず)は
、基板25を受容するため、または基板25をチャック145から持ち上げるた
めの、チャック145に設けられたリフトフィンガ開口部を介してのびるリフト
フィンガを備えている。チャック145の表面上方へ約2から5cm伸ばすため
に、ロボットアーム257は、空気リフト機構によって持ち上げられたリフトフ
ィンガの先端(図示せず)に基板25を配置する。空気機構は、コンピュータシ
ステムの制御下で、基板25を静電チャック145上に降下させ、基板25の温
度を制御するために、開口部265を介してチャック内にヘリウムが供給される
。
板25をロードロックチャンバから、スリットバルブを介してトランスファチャ
ンバへ、そしてチャンバ30内へ搬送する。リフトフィンガ装置(図示せず)は
、基板25を受容するため、または基板25をチャック145から持ち上げるた
めの、チャック145に設けられたリフトフィンガ開口部を介してのびるリフト
フィンガを備えている。チャック145の表面上方へ約2から5cm伸ばすため
に、ロボットアーム257は、空気リフト機構によって持ち上げられたリフトフ
ィンガの先端(図示せず)に基板25を配置する。空気機構は、コンピュータシ
ステムの制御下で、基板25を静電チャック145上に降下させ、基板25の温
度を制御するために、開口部265を介してチャック内にヘリウムが供給される
。
【0036】 ガス出口85を介して、チャンバ30内にエッチャントガスが導入され、一般
にチャンバは、約0.1から約400mTorrの範囲、より一般的には約0.
1から80 mTorrの範囲の圧力に維持される。基板25のエッチングに適
したハロゲン含有エッチャントガスには、例えばHCl、BCl3、HBr、B r2、Cl2、CCl4、SiCl4、SF6、F、NF3、HF、CF3、CF4、C
H3F、CHF3、C2H2F2、C2H4F6、C2F6、C3F8、C4F8、C2HF5、
C4F10、CF2Cl2、CFCl3、またこれらの混合物が含まれる。本発明のエ
ッチングプロセスは、高速なエッチング速度と、基板25上のシリコン層の選択
性の高いエッチングを提供する。好ましい組成には、(i)塩素、(ii)臭化
水素、そして任意で(iii)ヘリウム酸素ガスが含まれる。チャンバ30から
排気される揮発性SiClx種を形成するために、金属シリサイドまたは多結晶 シリコン層をエッチングする原子塩素と塩素含有種を形成するべく、塩素ガスが
イオン化される。塩素ガスは、Cl2、または塩素と同等のその他の塩素含有ガ ス、例えばHCl、BCl3、またはその混合物を含むことができる。臭化水素 ガスは、多結晶シリコン層のエッチング速度を加速する一方で、同時に、エッチ
ング選択比を拡大するためにレジスト層のエッチング速度を減衰させる。ヘリウ
ム酸素ガスは、エッチング比とエッチング選択性を更に促進する、励起中状態の
種とイオンを形成する。
にチャンバは、約0.1から約400mTorrの範囲、より一般的には約0.
1から80 mTorrの範囲の圧力に維持される。基板25のエッチングに適
したハロゲン含有エッチャントガスには、例えばHCl、BCl3、HBr、B r2、Cl2、CCl4、SiCl4、SF6、F、NF3、HF、CF3、CF4、C
H3F、CHF3、C2H2F2、C2H4F6、C2F6、C3F8、C4F8、C2HF5、
C4F10、CF2Cl2、CFCl3、またこれらの混合物が含まれる。本発明のエ
ッチングプロセスは、高速なエッチング速度と、基板25上のシリコン層の選択
性の高いエッチングを提供する。好ましい組成には、(i)塩素、(ii)臭化
水素、そして任意で(iii)ヘリウム酸素ガスが含まれる。チャンバ30から
排気される揮発性SiClx種を形成するために、金属シリサイドまたは多結晶 シリコン層をエッチングする原子塩素と塩素含有種を形成するべく、塩素ガスが
イオン化される。塩素ガスは、Cl2、または塩素と同等のその他の塩素含有ガ ス、例えばHCl、BCl3、またはその混合物を含むことができる。臭化水素 ガスは、多結晶シリコン層のエッチング速度を加速する一方で、同時に、エッチ
ング選択比を拡大するためにレジスト層のエッチング速度を減衰させる。ヘリウ
ム酸素ガスは、エッチング比とエッチング選択性を更に促進する、励起中状態の
種とイオンを形成する。
【0037】 次に図2を参照すると、チャンバ30内に誘導電界を形成し、チャンバ内で第
1、第2電極120、125をバイアスすることにより、プラズマ発生装置11
0を用いてエッチャントガスからプラズマにエネルギーが与えられる。プラズマ
は、高周波ソース電流をインダクタアンテナ115に加え、高周波バイアス電圧
を電極120、125に加えることにより形成される。層をエッチングし、チャ
ンバ30から排気される揮発性気体種を形成するために、加えられた電界におい
てエッチャントガスがイオン化して、基板25上のシリコン含有層に反応するハ
ロゲン含有イオンとニュートラルを形成する。上に広がるマスク層に関連して高
い選択性でシリコン含有層を異方的にエッチングするために、バイアス電圧電力
レベル(プロセス電極120、125への)に対するソース電流電力レベル(イ
ンダクタアンテナ115への)の電力比P1は、エッチャントプラズマの能力を 拡大するように選択される。インダクタアンテナ115に加えた電流のソース電
力レベルを上げることにより、プラズマ内で分解されるエッチャント種が増加し
、より優れた等方性エッチングを提供する。これに反して、プロセス電極120
、125に加えられた高周波電圧のバイアス電力レベルを上げることで、より高
い衝撃エネルギー構成をプラズマイオンに提供することにより、異方性エッチン
グの度合いが増加する。過度に高い電力比P1は基板25のスパッタリングを引 き起こしてしまい、その結果、基板のエッチングが不均一になってしまうことが
わかっている。その一方で、過度に低い電力比P1は分解されたイオン内へのエ ッチャントガスの不充分な分解を引き起こし、その結果、エッチング速度が減衰
し、エッチング選択性が低下してしまう。好ましい電力比P1は、少なくとも約 2:1であり、より好ましくは約2:1から約20:1である。約400から約
3000Wレベルのソース電力の電流をインダクタアンテナ115に加え、プラ
ズマゾーン35を包囲することでプラズマが形成され、また、プラズマゾーン内
で、電力レベル約20から約1000Wの圧力をプロセス電極120、125に
加えることにより、プラズマイオンが基板25に向かって引き付けられる。
1、第2電極120、125をバイアスすることにより、プラズマ発生装置11
0を用いてエッチャントガスからプラズマにエネルギーが与えられる。プラズマ
は、高周波ソース電流をインダクタアンテナ115に加え、高周波バイアス電圧
を電極120、125に加えることにより形成される。層をエッチングし、チャ
ンバ30から排気される揮発性気体種を形成するために、加えられた電界におい
てエッチャントガスがイオン化して、基板25上のシリコン含有層に反応するハ
ロゲン含有イオンとニュートラルを形成する。上に広がるマスク層に関連して高
い選択性でシリコン含有層を異方的にエッチングするために、バイアス電圧電力
レベル(プロセス電極120、125への)に対するソース電流電力レベル(イ
ンダクタアンテナ115への)の電力比P1は、エッチャントプラズマの能力を 拡大するように選択される。インダクタアンテナ115に加えた電流のソース電
力レベルを上げることにより、プラズマ内で分解されるエッチャント種が増加し
、より優れた等方性エッチングを提供する。これに反して、プロセス電極120
、125に加えられた高周波電圧のバイアス電力レベルを上げることで、より高
い衝撃エネルギー構成をプラズマイオンに提供することにより、異方性エッチン
グの度合いが増加する。過度に高い電力比P1は基板25のスパッタリングを引 き起こしてしまい、その結果、基板のエッチングが不均一になってしまうことが
わかっている。その一方で、過度に低い電力比P1は分解されたイオン内へのエ ッチャントガスの不充分な分解を引き起こし、その結果、エッチング速度が減衰
し、エッチング選択性が低下してしまう。好ましい電力比P1は、少なくとも約 2:1であり、より好ましくは約2:1から約20:1である。約400から約
3000Wレベルのソース電力の電流をインダクタアンテナ115に加え、プラ
ズマゾーン35を包囲することでプラズマが形成され、また、プラズマゾーン内
で、電力レベル約20から約1000Wの圧力をプロセス電極120、125に
加えることにより、プラズマイオンが基板25に向かって引き付けられる。
【0038】 基板上の下層を通過してエッチングしてしまうことなくエッチングプロセスを
中止するために、基板25エッチングプロセスは、一般にメインエッチング段階
と「オーバエッチング」段階において実施される。メインエッチング段階は、上
層が完全にエッチングされる前に中止され、上層の残留物部分を通過してエッチ
ングを行うためにオーバエッチング段階が実施される。ゆっくりした、より制御
可能なエッチング速度を得るために、一般に、オーバエッチング段階でエッチャ
ントガスのハロゲン含有量が減少する。例えば、多結晶シリコン層のエッチング
に適したメインエッチングプロセス段階は、Cl2の含有量が68sccm、H Brの含有量が112sccm、He−O2の含有量が16sccmのエッチャ ントガスを使用することができる。インダクタアンテナ115に加えられたソー
ス電流の電力レベルは475Wであり、プロセス電極120、125に加えられ
たソース電流の電力レベルは80Wであり、電力比P1は約6:1となる。チャ ンバ30内の圧力は4mTorrに保たれる。多結晶シリコン層に適したオーバ
エッチングプロセス段階では、チャンバ圧力50mTorrにて、158scc
mのHBrと10sccmのHe−O2を有するエッチャントプロセスガスを使 用する。オーバエッチングプロセス段階では、インダクタアンテナ115に加え
られたソース電流の電力レベルは1000Wであり、プロセス電極120、12
5に加えられたバイアス電圧の電力レベルは100Wであったので、電力比P1 は約10:1となる。
中止するために、基板25エッチングプロセスは、一般にメインエッチング段階
と「オーバエッチング」段階において実施される。メインエッチング段階は、上
層が完全にエッチングされる前に中止され、上層の残留物部分を通過してエッチ
ングを行うためにオーバエッチング段階が実施される。ゆっくりした、より制御
可能なエッチング速度を得るために、一般に、オーバエッチング段階でエッチャ
ントガスのハロゲン含有量が減少する。例えば、多結晶シリコン層のエッチング
に適したメインエッチングプロセス段階は、Cl2の含有量が68sccm、H Brの含有量が112sccm、He−O2の含有量が16sccmのエッチャ ントガスを使用することができる。インダクタアンテナ115に加えられたソー
ス電流の電力レベルは475Wであり、プロセス電極120、125に加えられ
たソース電流の電力レベルは80Wであり、電力比P1は約6:1となる。チャ ンバ30内の圧力は4mTorrに保たれる。多結晶シリコン層に適したオーバ
エッチングプロセス段階では、チャンバ圧力50mTorrにて、158scc
mのHBrと10sccmのHe−O2を有するエッチャントプロセスガスを使 用する。オーバエッチングプロセス段階では、インダクタアンテナ115に加え
られたソース電流の電力レベルは1000Wであり、プロセス電極120、12
5に加えられたバイアス電圧の電力レベルは100Wであったので、電力比P1 は約10:1となる。
【0039】 光終点測定技術を用いて、検出可能な気体種に関連する特定の波長の発光の変
化を測定することにより、エッチングプロセスの完全な終了、またはある特定の
層のエッチングの終了を決定する。選択した検出可能な種の濃度の急激な減少ま
たは増加は、1つ以上の層のエッチングが完了したことを示す。例えば、シリコ
ン種の濃度が急激に増加した場合には(これは、プロセスガスと下層の多結晶シ
リコンとの化学反応によって起こる)エッチングプロセスが終了したことを示し
、また、塩素イオン濃度が増加した場合には(これは下層の腐食が減少したため
に起こる)、金属シリサイド層のエッチングが完了し、下層のエッチングを開始
することを示す。
化を測定することにより、エッチングプロセスの完全な終了、またはある特定の
層のエッチングの終了を決定する。選択した検出可能な種の濃度の急激な減少ま
たは増加は、1つ以上の層のエッチングが完了したことを示す。例えば、シリコ
ン種の濃度が急激に増加した場合には(これは、プロセスガスと下層の多結晶シ
リコンとの化学反応によって起こる)エッチングプロセスが終了したことを示し
、また、塩素イオン濃度が増加した場合には(これは下層の腐食が減少したため
に起こる)、金属シリサイド層のエッチングが完了し、下層のエッチングを開始
することを示す。
【0040】 プロセスが終了すると、基板25を持ち上げるために、空気リフト装置270
が静電チャック145を介してリフトピンを持ち上げられ、基板25とチャック
の間にロボット搬送アームが挿入されて、基板をリフトピンから持ち上げる。そ
の後、リフトピンはチャック145内に引き込まれ、ロボットアームが基板25
をエッチングチャンバ30の外に出し、真空環境に保たれているトランスファチ
ャンバ内へと搬送する。
が静電チャック145を介してリフトピンを持ち上げられ、基板25とチャック
の間にロボット搬送アームが挿入されて、基板をリフトピンから持ち上げる。そ
の後、リフトピンはチャック145内に引き込まれ、ロボットアームが基板25
をエッチングチャンバ30の外に出し、真空環境に保たれているトランスファチ
ャンバ内へと搬送する。
【0041】
その後、処理プロセスを用いて、エッチングチャンバ30の内部表面、特にセ
ラミック表面の処理および再調整を行い、チャンバ壁45、50、55とコンポ
ーネント上に形成されたエッチング残留物を洗浄する。エッチング残留物はエッ
チングチャンバ30の表面内部、例えば、側壁45、単一相セラミック部材13
5の受容表面140、チャンバのシーリング55に堆積してこれらと反応し、硬
質で耐化学薬品層を形成する。通常、エッチング残留物は、ハロゲン、炭素、水
素、酸素、および/または基板25のエッチングの最中に形成されるシリコン化
合物 重合有機化合物を含む。特に、エッチング残留物は、高い反応表面機能グ
ループを有する、単一相セラミック部材135のようなチャンバ30のセラミッ
ク表面と反応する。例えば、シリコンまたは酸化シリコンを含有するセラミック
表面は、セラミック表面が空気、酸素、または周囲の湿気に露出された際に形成
されるSi−OH'表面グループを備えており、酸化アルミニウムまたは窒化ア ルミニウム表面はAl−OH'表面グループを備えている。これらの表面機能グ ループはエッチング残留物と化学反応を起こして、チャンバ表面またはコンポー
ネント上に硬質な粘着コーティングを形成する。
ラミック表面の処理および再調整を行い、チャンバ壁45、50、55とコンポ
ーネント上に形成されたエッチング残留物を洗浄する。エッチング残留物はエッ
チングチャンバ30の表面内部、例えば、側壁45、単一相セラミック部材13
5の受容表面140、チャンバのシーリング55に堆積してこれらと反応し、硬
質で耐化学薬品層を形成する。通常、エッチング残留物は、ハロゲン、炭素、水
素、酸素、および/または基板25のエッチングの最中に形成されるシリコン化
合物 重合有機化合物を含む。特に、エッチング残留物は、高い反応表面機能グ
ループを有する、単一相セラミック部材135のようなチャンバ30のセラミッ
ク表面と反応する。例えば、シリコンまたは酸化シリコンを含有するセラミック
表面は、セラミック表面が空気、酸素、または周囲の湿気に露出された際に形成
されるSi−OH'表面グループを備えており、酸化アルミニウムまたは窒化ア ルミニウム表面はAl−OH'表面グループを備えている。これらの表面機能グ ループはエッチング残留物と化学反応を起こして、チャンバ表面またはコンポー
ネント上に硬質な粘着コーティングを形成する。
【0042】 チャンバ処理プロセスによって、チャンバ30に付着したエッチング残留物の
反応副次物とチャンバ表面がもたらす不都合な効果が除去および減少される。こ
れについて説明する。プロセスを実施するためには、排気システム90のスロッ
トルバルブ95を全開してプロセスチャンバ30からエッチャントガスを排気し
ておく。NF3、CF4、SF6、C2F6、CCl4、C2Cl6、またはその混合物
といった洗浄ガスがリモートチャンバ40内に導入され、そこで、例えばマイク
ロ波発生装置185でリモートチャンバ内に加えられたマイクロ波によって、ま
たは、電極あるいはインダクタコイルを介して加えられた高周波エネルギーによ
って活性化される。その後、活性洗浄ガスがエッチングチャンバ30内に導入さ
れて、チャンバ内のエッチング残留物を洗浄する。
反応副次物とチャンバ表面がもたらす不都合な効果が除去および減少される。こ
れについて説明する。プロセスを実施するためには、排気システム90のスロッ
トルバルブ95を全開してプロセスチャンバ30からエッチャントガスを排気し
ておく。NF3、CF4、SF6、C2F6、CCl4、C2Cl6、またはその混合物
といった洗浄ガスがリモートチャンバ40内に導入され、そこで、例えばマイク
ロ波発生装置185でリモートチャンバ内に加えられたマイクロ波によって、ま
たは、電極あるいはインダクタコイルを介して加えられた高周波エネルギーによ
って活性化される。その後、活性洗浄ガスがエッチングチャンバ30内に導入さ
れて、チャンバ内のエッチング残留物を洗浄する。
【0043】 2つの機能を達成するために、ガスアクティベーター175を動作するために
使用する電流の電力レベルPLが選択される。1つ目の機能において、洗浄ガス は、チャンバ表面上で形成された炭素、捕捉ハロゲン種、シリコン、および/ま
たはハロゲン種 エッチング残留物の薄い層と化学反応を生じ、気化する必要が
ある。洗浄ガスの流量FRと、ガスアクティベーター175の電力レベルPLとが
、活性洗浄ガス内の分解種−非分解種の比率を制御する。より分解した気体種の
方が優先的に、下のチャンバ層に関係する薄いエッチャント層と反応する。活性
プラズマが、下のチャンバ表面の腐食を最小限に留めながら、チャンバ壁上に形
成されたエッチング残留物の薄い層を除去できるのはこのためである。2つ目の
機能において、エッチング残留物を除去した後に、活性洗浄ガスがチャンバ30
内の表面、特にセラミック表面を再調整する。ハロゲンを含有するエッチング残
留物は、チャンバ壁45、50、55およびコンポーネントと非常に化学反応を
起こしやすいことがわかっている。特に、エッチングチャンバ30が、前述のS
i−OH'、Al−OH'、その他の同様の種といった高い反応表面機能グループ
を有するセラミック表面を備えている場合には化学反応を起こしやすい。例えば
、エッチング残留物内のフッ素含有種は、揮発性のAlF3種を形成するために 、酸化または窒化セラミックアルミニウム表面を急速に腐食する。同様に、臭素
含有種は、酸化水素臭化物を形成するために周囲の湿気において加水分解する。
この酸化水素臭化物はシリコン含有コンポーネントを腐食してしまう。これらの
タイプのエッチング残留物は、チャンバ表面の過剰な腐食を防止するためにチャ
ンバ30から早急に除去されなければならない。高い流量FRと低い電力レベル PLの活性ガス種がチャンバ表面を調整する。すなわち、チャンバ30内のセラ ミック表面上のAlOH'グループのような表面機能グループの少なくとも1部 を修復する。これにより、チャンバ表面の化学状態が元の化学状態に戻り、次の
エッチングプロセスに備えて、表面が初期の表面活動度および表面機能に修復さ
れる。その結果、処理されたチャンバ30内で実行されたエッチングプロセスは
、チャンバの洗浄に湿式洗浄またはRIE洗浄プロセスを使用しているチャンバ
と比べて、より再生可能な結果を生じる。
使用する電流の電力レベルPLが選択される。1つ目の機能において、洗浄ガス は、チャンバ表面上で形成された炭素、捕捉ハロゲン種、シリコン、および/ま
たはハロゲン種 エッチング残留物の薄い層と化学反応を生じ、気化する必要が
ある。洗浄ガスの流量FRと、ガスアクティベーター175の電力レベルPLとが
、活性洗浄ガス内の分解種−非分解種の比率を制御する。より分解した気体種の
方が優先的に、下のチャンバ層に関係する薄いエッチャント層と反応する。活性
プラズマが、下のチャンバ表面の腐食を最小限に留めながら、チャンバ壁上に形
成されたエッチング残留物の薄い層を除去できるのはこのためである。2つ目の
機能において、エッチング残留物を除去した後に、活性洗浄ガスがチャンバ30
内の表面、特にセラミック表面を再調整する。ハロゲンを含有するエッチング残
留物は、チャンバ壁45、50、55およびコンポーネントと非常に化学反応を
起こしやすいことがわかっている。特に、エッチングチャンバ30が、前述のS
i−OH'、Al−OH'、その他の同様の種といった高い反応表面機能グループ
を有するセラミック表面を備えている場合には化学反応を起こしやすい。例えば
、エッチング残留物内のフッ素含有種は、揮発性のAlF3種を形成するために 、酸化または窒化セラミックアルミニウム表面を急速に腐食する。同様に、臭素
含有種は、酸化水素臭化物を形成するために周囲の湿気において加水分解する。
この酸化水素臭化物はシリコン含有コンポーネントを腐食してしまう。これらの
タイプのエッチング残留物は、チャンバ表面の過剰な腐食を防止するためにチャ
ンバ30から早急に除去されなければならない。高い流量FRと低い電力レベル PLの活性ガス種がチャンバ表面を調整する。すなわち、チャンバ30内のセラ ミック表面上のAlOH'グループのような表面機能グループの少なくとも1部 を修復する。これにより、チャンバ表面の化学状態が元の化学状態に戻り、次の
エッチングプロセスに備えて、表面が初期の表面活動度および表面機能に修復さ
れる。その結果、処理されたチャンバ30内で実行されたエッチングプロセスは
、チャンバの洗浄に湿式洗浄またはRIE洗浄プロセスを使用しているチャンバ
と比べて、より再生可能な結果を生じる。
【0044】 洗浄ガスの流量FRと、マイクロ波プラズマ活性装置185のようなガスアク ティベーター175に加えられた電流の電力レベルPLとを、以下に示すように 選択されることが好ましい。エッチングチャンバ表面上のエッチング残留物を、
チャンバ30内の壁やコンポーネントを腐食することなく、チャンバガス混合物
と基板の汚染への効果をなくすのに十分に低い濃度に気化できるようにする。洗
浄ガスの流量FRは、セラミック表面上の実質的にすべてのエッチング残留物と 反応して気体副次物を形成するのに十分多くなくてはならない。しかしながら、
過度に多い流量は、洗浄ガス内の活性化した気体種に常に露出されることにより
、チャンバの壁と表面の腐食の原因になってしまう。
チャンバ30内の壁やコンポーネントを腐食することなく、チャンバガス混合物
と基板の汚染への効果をなくすのに十分に低い濃度に気化できるようにする。洗
浄ガスの流量FRは、セラミック表面上の実質的にすべてのエッチング残留物と 反応して気体副次物を形成するのに十分多くなくてはならない。しかしながら、
過度に多い流量は、洗浄ガス内の活性化した気体種に常に露出されることにより
、チャンバの壁と表面の腐食の原因になってしまう。
【0045】 例えば、基板上のシリコン含有層をエッチングする場合、一次重合およびシリ
コン含有種を含む、厚さが約0.01から1000ミクロンの比較的薄いエッチ
ング残留物がチャンバの壁45、50、55と表面上に形成されることがわかっ
ている。このエッチング残留物層は、容積が約40,000cm3のチャンバに 適した約200から約2000sccmに匹敵する流量で高周波の活性洗浄ガス
を、約0.5から100秒の間チャンバ30内に導入して、エッチング残留物を
洗浄することで、実質的にチャンバ内の壁やコンポーネントを腐食することなく
除去することができる。サイズの異なるプロセスチャンバでは、チャンバ容量(
cm3)に対するNF3流量(sccm)と同じ比率を維持する洗浄ガス混合物の
同等の流量を使用するべきである。NF3のみから成るガスが優れた結果を生じ る一方で、ヘリウムまたはアルゴンのような不活性ガスもプロセスガスに加える
ことができる。またはプロセスガスを、He−O2のような市販のガスの混合物 で製造することもできる。
コン含有種を含む、厚さが約0.01から1000ミクロンの比較的薄いエッチ
ング残留物がチャンバの壁45、50、55と表面上に形成されることがわかっ
ている。このエッチング残留物層は、容積が約40,000cm3のチャンバに 適した約200から約2000sccmに匹敵する流量で高周波の活性洗浄ガス
を、約0.5から100秒の間チャンバ30内に導入して、エッチング残留物を
洗浄することで、実質的にチャンバ内の壁やコンポーネントを腐食することなく
除去することができる。サイズの異なるプロセスチャンバでは、チャンバ容量(
cm3)に対するNF3流量(sccm)と同じ比率を維持する洗浄ガス混合物の
同等の流量を使用するべきである。NF3のみから成るガスが優れた結果を生じ る一方で、ヘリウムまたはアルゴンのような不活性ガスもプロセスガスに加える
ことができる。またはプロセスガスを、He−O2のような市販のガスの混合物 で製造することもできる。
【0046】 マイクロ波発生装置185を備えたガスアクティベーター175を使用する場
合、ガスアクティベーター175を介してリモートプラズマチャンバ40に加え
られたマイクロ波のパワーおよび強度の尺度でもある、マイクロ波発生装置18
5を動作する電力レベルPLも、チャンバの壁を腐食することなくチャンバ30 の表面を洗浄、処理するように選択される。電力レベルは、チャンバの壁とコン
ポーネント上の実質的にすべてのエッチング残留物を、その下にある構造を損傷
することなく除去するために十分に反応する洗浄ガスを提供するのに十分な程度
に高い必要がある。過度に高い電力レベルPLは非常に活性化した気体種を生じ るので、チャンバの壁を腐食してしまう。逆に、低すぎる電力レベルで活性洗浄
ガスでは、チャンバの壁およびコンポーネントの一部の上にある、厚い、化学的
に硬質なエッチング残留物を除去することができない。NF3洗浄ガスに適切な 電力レベルは、約500から約4000Wであり、より好ましくは約1500か
ら約2500Wである。
合、ガスアクティベーター175を介してリモートプラズマチャンバ40に加え
られたマイクロ波のパワーおよび強度の尺度でもある、マイクロ波発生装置18
5を動作する電力レベルPLも、チャンバの壁を腐食することなくチャンバ30 の表面を洗浄、処理するように選択される。電力レベルは、チャンバの壁とコン
ポーネント上の実質的にすべてのエッチング残留物を、その下にある構造を損傷
することなく除去するために十分に反応する洗浄ガスを提供するのに十分な程度
に高い必要がある。過度に高い電力レベルPLは非常に活性化した気体種を生じ るので、チャンバの壁を腐食してしまう。逆に、低すぎる電力レベルで活性洗浄
ガスでは、チャンバの壁およびコンポーネントの一部の上にある、厚い、化学的
に硬質なエッチング残留物を除去することができない。NF3洗浄ガスに適切な 電力レベルは、約500から約4000Wであり、より好ましくは約1500か
ら約2500Wである。
【0047】 チャンバを処理および調整するために、活性化した気体種がほんの短い間、エ
ッチングチャンバ30内に導入される。活性洗浄ガスの短い噴出により、従来の
洗浄プロセスにない顕著な利点が得られる。まず、活性洗浄ガスの噴出によって
、エッチング残留物を除去するより多くの高度に分解した種が得られ、また、高
度に化学反応分解した種によって実行される「軽い」化学反応プロセスにより、
チャンバ30内のセラミック表面が洗浄および調整される。洗浄ガスの噴出およ
びチャンバ30から洗浄ガスを迅速に排気することで、分解した種が再び結合し
て、チャンバ表面とコンポーネントを腐食する他の化学種を形成することを防止
することもできる。この機構は、反応副次物を、これがチャンバ30内で再び結
合する前に流し去る助けをするガス噴出の多量な流量によってさらに促進される
。さらに、このガスの噴出は、洗浄操作中に、露出したエッチング残留物表面へ
の洗浄ガスの新鮮な供給を保ち、これにより残留物層を高速に除去する。実質的
にチャンバ内の壁およびコンポーネントを腐食することなくエッチング残留物を
洗浄するために、活性洗浄ガスの噴出が、容量約40,000cm3のエッチン グチャンバ30に、少なくとも約200から約2000sccmと同等の流量F R で、約0.5から約100秒の間エッチングチャンバ内に導入されることが好 ましい。また、遠隔的に発生した洗浄ガスを、約0.5から約24秒間エッチン
グチャンバ内に導入することがより好ましい。
ッチングチャンバ30内に導入される。活性洗浄ガスの短い噴出により、従来の
洗浄プロセスにない顕著な利点が得られる。まず、活性洗浄ガスの噴出によって
、エッチング残留物を除去するより多くの高度に分解した種が得られ、また、高
度に化学反応分解した種によって実行される「軽い」化学反応プロセスにより、
チャンバ30内のセラミック表面が洗浄および調整される。洗浄ガスの噴出およ
びチャンバ30から洗浄ガスを迅速に排気することで、分解した種が再び結合し
て、チャンバ表面とコンポーネントを腐食する他の化学種を形成することを防止
することもできる。この機構は、反応副次物を、これがチャンバ30内で再び結
合する前に流し去る助けをするガス噴出の多量な流量によってさらに促進される
。さらに、このガスの噴出は、洗浄操作中に、露出したエッチング残留物表面へ
の洗浄ガスの新鮮な供給を保ち、これにより残留物層を高速に除去する。実質的
にチャンバ内の壁およびコンポーネントを腐食することなくエッチング残留物を
洗浄するために、活性洗浄ガスの噴出が、容量約40,000cm3のエッチン グチャンバ30に、少なくとも約200から約2000sccmと同等の流量F R で、約0.5から約100秒の間エッチングチャンバ内に導入されることが好 ましい。また、遠隔的に発生した洗浄ガスを、約0.5から約24秒間エッチン
グチャンバ内に導入することがより好ましい。
【0048】 本発明の別の面において、基板25をチャンバの外に搬送する間に、または、
基板25がエッチングチャンバ30から取り出された直後に、装置のダウンタイ
ムを減少し、エッチングチャンバ30のスループットを増加するために有益な洗
浄プロセスが実施される。この場合、活性洗浄ガスがチャンバ40内に準備され
、また、同時に基板25をエッチングチャンバの外に搬送しながら、高い流量F R の活性洗浄ガスの噴出が、実質的に表面を腐食することなくエッチングチャン バ30の表面を処理および調整するのに十分な時間だけ、エッチングチャンバ3
0内に導入される。例えば、基板25のエッチングの終了が近づくと、洗浄ガス
供給200とリモートチャンバ40との間のガスラインに設けられた入口バルブ
205を開口することによってリモートチャンバ40内に洗浄ガスが導入され、
基板がエッチングチャンバの外に搬送されるのと同時に、活性洗浄ガスがエッチ
ングチャンバ30内に流入することができるようになる。基板のエッチングの最
中は、リモートチャンバの入口バルブ205は閉鎖状態にあり、エッチングが終
了した基板25がエッチングチャンバ30から出される、または搬送されている
間に、すなわち、例えば基板25がエッチングチャンバの側壁45に設けられた
溝バルブを通過している間に、ロボット制御装置259が、洗浄ガスがリモート
チャンバ40内に入れるように入口バルブ205を開口する旨の第1信号を送信
する。例えば、ロボット制御装置259は、コンピュータ制御システム230に
対して第1トリガ信号を提供することができ、コンピュータ制御システムはこれ
に反応して入口バルブ205を開口し、マイクロ波発生装置185を起動し、活
性洗浄ガスが形成される。チャンバ30内部の表面を洗浄および調整するために
、洗浄ガスが短時間の間エッチングチャンバ30内に流入する。次に、ロボット
制御装置259は、エッチングチャンバ30内に挿入する第2基板25を持って
くる際に入口バルブ205を閉鎖し、排気システム90に、エッチングチャンバ
に残留している活性ガスを排気させる旨の第2トリガ信号を発信する。その後、
別の基板25がチャンバ30内に導入され、全ての基板25供給が処理されるま
で、エッチング、搬送、チャンバ洗浄および調整段階が繰り返される。この方法
において、エッチングプロセス段階が洗浄プロセス段階によって遅延または低速
化されることはないので、プロセスのスループットが向上する。
基板25がエッチングチャンバ30から取り出された直後に、装置のダウンタイ
ムを減少し、エッチングチャンバ30のスループットを増加するために有益な洗
浄プロセスが実施される。この場合、活性洗浄ガスがチャンバ40内に準備され
、また、同時に基板25をエッチングチャンバの外に搬送しながら、高い流量F R の活性洗浄ガスの噴出が、実質的に表面を腐食することなくエッチングチャン バ30の表面を処理および調整するのに十分な時間だけ、エッチングチャンバ3
0内に導入される。例えば、基板25のエッチングの終了が近づくと、洗浄ガス
供給200とリモートチャンバ40との間のガスラインに設けられた入口バルブ
205を開口することによってリモートチャンバ40内に洗浄ガスが導入され、
基板がエッチングチャンバの外に搬送されるのと同時に、活性洗浄ガスがエッチ
ングチャンバ30内に流入することができるようになる。基板のエッチングの最
中は、リモートチャンバの入口バルブ205は閉鎖状態にあり、エッチングが終
了した基板25がエッチングチャンバ30から出される、または搬送されている
間に、すなわち、例えば基板25がエッチングチャンバの側壁45に設けられた
溝バルブを通過している間に、ロボット制御装置259が、洗浄ガスがリモート
チャンバ40内に入れるように入口バルブ205を開口する旨の第1信号を送信
する。例えば、ロボット制御装置259は、コンピュータ制御システム230に
対して第1トリガ信号を提供することができ、コンピュータ制御システムはこれ
に反応して入口バルブ205を開口し、マイクロ波発生装置185を起動し、活
性洗浄ガスが形成される。チャンバ30内部の表面を洗浄および調整するために
、洗浄ガスが短時間の間エッチングチャンバ30内に流入する。次に、ロボット
制御装置259は、エッチングチャンバ30内に挿入する第2基板25を持って
くる際に入口バルブ205を閉鎖し、排気システム90に、エッチングチャンバ
に残留している活性ガスを排気させる旨の第2トリガ信号を発信する。その後、
別の基板25がチャンバ30内に導入され、全ての基板25供給が処理されるま
で、エッチング、搬送、チャンバ洗浄および調整段階が繰り返される。この方法
において、エッチングプロセス段階が洗浄プロセス段階によって遅延または低速
化されることはないので、プロセスのスループットが向上する。
【0049】 また別の場合において、洗浄ガスの活性中に、リモートチャンバからの出口バ
ルブ225は閉鎖位置にある。エッチングされた基板25がエッチングチャンバ
30から取り出されるかまたは搬送されると、ロボット制御装置259が、エッ
チングチャンバ内部の表面を洗浄および調整するために、ガス管路170内の出
口バルブ225を開口して、活性ガスを短時間の間エッチングチャンバ30内に
流入させる旨の第1信号を発信する。次に、ロボット制御装置259は、エッチ
ングチャンバ30内に挿入する第2基板25を持ってくる際に出口バルブ225
を閉鎖する旨の第2トリガ信号を発信する。その後、別の基板25がチャンバ3
0内に搬送され、エッチング、搬送、チャンバ洗浄および調整段階が繰り返され
る。
ルブ225は閉鎖位置にある。エッチングされた基板25がエッチングチャンバ
30から取り出されるかまたは搬送されると、ロボット制御装置259が、エッ
チングチャンバ内部の表面を洗浄および調整するために、ガス管路170内の出
口バルブ225を開口して、活性ガスを短時間の間エッチングチャンバ30内に
流入させる旨の第1信号を発信する。次に、ロボット制御装置259は、エッチ
ングチャンバ30内に挿入する第2基板25を持ってくる際に出口バルブ225
を閉鎖する旨の第2トリガ信号を発信する。その後、別の基板25がチャンバ3
0内に搬送され、エッチング、搬送、チャンバ洗浄および調整段階が繰り返され
る。
【0050】 本発明のまたさらに別の面では、リモートチャンバ40に関連するガスの低い
圧力がエッチングチャンバ30内で維持される。本発明のこの面は、チャンバの
厚いエッチャント残留物を有する部分付近、またはこれに面して洗浄ガス注入ノ
ズル235を設けることで、より強力な洗浄が必要な特定のチャンバ表面付近に
洗浄ガスの流れを向けるために、上述の特殊化したガス分配器構造と共に使用す
ることができる。2つのチャンバ間で異なる圧力が維持されることによって活性
洗浄ガスがより急速にプロセスチャンバ内に流入することで、洗浄ガスが高速で
チャンバ表面と衝突してこれを洗浄すると考えられている。このプロセスでは、
エッチングチャンバ30の内部容量はリモートチャンバ40よりも高圧に維持さ
れる。この方法で、エッチングチャンバ30はリモートチャンバ40よりも低圧
に維持されることが好ましい。エッチングチャンバ30は0.1から80mTo
rrの圧力に、リモートチャンバ40は約500から約3000mTorrの圧
力に維持されることが好ましい。
圧力がエッチングチャンバ30内で維持される。本発明のこの面は、チャンバの
厚いエッチャント残留物を有する部分付近、またはこれに面して洗浄ガス注入ノ
ズル235を設けることで、より強力な洗浄が必要な特定のチャンバ表面付近に
洗浄ガスの流れを向けるために、上述の特殊化したガス分配器構造と共に使用す
ることができる。2つのチャンバ間で異なる圧力が維持されることによって活性
洗浄ガスがより急速にプロセスチャンバ内に流入することで、洗浄ガスが高速で
チャンバ表面と衝突してこれを洗浄すると考えられている。このプロセスでは、
エッチングチャンバ30の内部容量はリモートチャンバ40よりも高圧に維持さ
れる。この方法で、エッチングチャンバ30はリモートチャンバ40よりも低圧
に維持されることが好ましい。エッチングチャンバ30は0.1から80mTo
rrの圧力に、リモートチャンバ40は約500から約3000mTorrの圧
力に維持されることが好ましい。
【0051】 本発明のまた別の面では、チャンバ表面の処理とエッチング残留物の濃度の減
衰のために、エッチング残留物に非常に反応しやすいセラミック表面のようなチ
ャンバ表面を洗浄、調整するのに有益なマルチサイクル洗浄プロセスを使用して
いる。第1段階では、マイクロ波発生装置185のようなガスアクティベーター
175を第1電力レベルに維持することで第1活性洗浄ガスが形成される。少な
くとも1つの第2段階において、ガスアクティベーター175を第1電力レベル
よりも低い第2電力レベルに維持することで、第2活性洗浄ガスが形成される。
第1洗浄段階のより高い第1電力レベルによって活性洗浄ガスは、基板25付近
のチャンバの壁45、50、55とコンポーネントに厚く付着した硬質なエッチ
ング残留物を除去することが可能な、分解および化学反応度の高い種を提供する
。第2洗浄段階における洗浄ガスは、チャンバ30内に最適なエッチング状態を
提供するべく、セラミック表面のような表面の効率的な処理および調整を行うた
めに、より低い電力レベルで活性化される。第1電力レベルは少なくとも約50
0W、できれば約500から約3000Wであることが好ましく、また、第2電
力レベルは少なくとも1000W、できれば約1500から約4000Wである
ことが好ましい。チャンバ30内のエッチング残留物の濃度を所望のレベルに減
衰するために、複数の電力レベルプロセスが、チャンバの壁を処理するのに十分
な回数だけ繰り返される。この回数は、一般には1サイクルから、約1から約1
0サイクルの範囲までである。各洗浄段階の継続時間は約0.5から約100秒
であり、より好ましくは約2から約30秒である。
衰のために、エッチング残留物に非常に反応しやすいセラミック表面のようなチ
ャンバ表面を洗浄、調整するのに有益なマルチサイクル洗浄プロセスを使用して
いる。第1段階では、マイクロ波発生装置185のようなガスアクティベーター
175を第1電力レベルに維持することで第1活性洗浄ガスが形成される。少な
くとも1つの第2段階において、ガスアクティベーター175を第1電力レベル
よりも低い第2電力レベルに維持することで、第2活性洗浄ガスが形成される。
第1洗浄段階のより高い第1電力レベルによって活性洗浄ガスは、基板25付近
のチャンバの壁45、50、55とコンポーネントに厚く付着した硬質なエッチ
ング残留物を除去することが可能な、分解および化学反応度の高い種を提供する
。第2洗浄段階における洗浄ガスは、チャンバ30内に最適なエッチング状態を
提供するべく、セラミック表面のような表面の効率的な処理および調整を行うた
めに、より低い電力レベルで活性化される。第1電力レベルは少なくとも約50
0W、できれば約500から約3000Wであることが好ましく、また、第2電
力レベルは少なくとも1000W、できれば約1500から約4000Wである
ことが好ましい。チャンバ30内のエッチング残留物の濃度を所望のレベルに減
衰するために、複数の電力レベルプロセスが、チャンバの壁を処理するのに十分
な回数だけ繰り返される。この回数は、一般には1サイクルから、約1から約1
0サイクルの範囲までである。各洗浄段階の継続時間は約0.5から約100秒
であり、より好ましくは約2から約30秒である。
【0052】 本発明のチャンバ処理プロセスは、チャンバ30内における活性洗浄ガスとエ
ッチング残留物との化学反応を最大限にし、エッチングチャンバ30内の露出し
た表面と洗浄ガスとの反応性を最小限にするために有利である。この洗浄プロセ
スは、エッチング残留物を、その厚さや化学量論に関係なく均一に除去するため
に発明された。従来の洗浄プロセス、特に技師によって遂行される洗浄プロセス
は、チャンバ表面上に形成されたエッチング残留堆積物を均一に洗浄および除去
することができなかった。チャンバ表面上にエッチャント堆積物が堆積すること
により、エッチャント堆積物が剥がれ、チャンバ内でエッチングされる基板25
が汚染されてしまう。チャンバ表面の実質的に全面にかけてエッチング残留物を
均一に除去することで、このような汚染と基板25からの歩留りの減衰が最低限
にとどめられる。
ッチング残留物との化学反応を最大限にし、エッチングチャンバ30内の露出し
た表面と洗浄ガスとの反応性を最小限にするために有利である。この洗浄プロセ
スは、エッチング残留物を、その厚さや化学量論に関係なく均一に除去するため
に発明された。従来の洗浄プロセス、特に技師によって遂行される洗浄プロセス
は、チャンバ表面上に形成されたエッチング残留堆積物を均一に洗浄および除去
することができなかった。チャンバ表面上にエッチャント堆積物が堆積すること
により、エッチャント堆積物が剥がれ、チャンバ内でエッチングされる基板25
が汚染されてしまう。チャンバ表面の実質的に全面にかけてエッチング残留物を
均一に除去することで、このような汚染と基板25からの歩留りの減衰が最低限
にとどめられる。
【0053】 活性洗浄ガスにより、in-situプラズマ洗浄段階と比べてチャンバの腐食損傷 をかなり少なくすることができる。これは、エッチングチャンバ内でプラズマの
エネルギーレベルが低減されているためである。やはりチャンバ表面とコンポー
ネントの広範囲にわたる腐食によって生じた残留堆積物を除去するためにハイパ
ワーのプラズマを使用する従来技術では、これを達成することは困難であった。
チャンバコンポーネントを交換する必要をなくすことにより、エッチングチャン
バ30のオペレーションコストと、基板25の1枚あたりのコストを著しく低減
することができる。さらに、チャンバ30内での処理を中断してチャンバの壁と
コンポーネントを湿式洗浄するよりも、基板25のエッチングの最中、また、好
ましくはエッチングチャンバ30とローディングチャンバの間における基板25
の搬送中に、エッチングチャンバ30をin-situで効果的に洗浄するのに活性洗 浄ガスを使用することができ、これにより、エッチングスループットが増加し、
基板1枚あたりのコストをさらに低減することができる。洗浄プロセスは、少な
くとも2つの要因からチャンバの寿命を延ばすと考えられており、さらに、基板
上に剥がれ落ちる残留副次物の堆積を減少することにより、基板の歩留りが増加
すると考えられている。
エネルギーレベルが低減されているためである。やはりチャンバ表面とコンポー
ネントの広範囲にわたる腐食によって生じた残留堆積物を除去するためにハイパ
ワーのプラズマを使用する従来技術では、これを達成することは困難であった。
チャンバコンポーネントを交換する必要をなくすことにより、エッチングチャン
バ30のオペレーションコストと、基板25の1枚あたりのコストを著しく低減
することができる。さらに、チャンバ30内での処理を中断してチャンバの壁と
コンポーネントを湿式洗浄するよりも、基板25のエッチングの最中、また、好
ましくはエッチングチャンバ30とローディングチャンバの間における基板25
の搬送中に、エッチングチャンバ30をin-situで効果的に洗浄するのに活性洗 浄ガスを使用することができ、これにより、エッチングスループットが増加し、
基板1枚あたりのコストをさらに低減することができる。洗浄プロセスは、少な
くとも2つの要因からチャンバの寿命を延ばすと考えられており、さらに、基板
上に剥がれ落ちる残留副次物の堆積を減少することにより、基板の歩留りが増加
すると考えられている。
【0054】 処理および洗浄プロセスは、化学的に付着したエッチャント堆積物をチャンバ
30の能動表面から除去し、これらの表面の元来の化学反応と表面機能グループ
を復活させる。処理および洗浄プロセスはさらに、窒化アルミニウム、炭化ホウ
素、窒化ホウ素、ダイアモンド、酸化シリコン、シリコン炭化物、窒化シリコン
、酸化チタン、チタン炭化物、酸化イットリウム、酸化ジルコニウムのうち少な
くとも1つを含有するようなセラミック表面に頑固に付着した、またはこれと化
学反応を生じたエッチング残留物を洗浄するのに特に有益である。活性洗浄ガス
は、エッチングプロセスと化学的に一致する表面化学論量と表面機能グループを
提供するためにこれらのセラミック表面を処理および再調整する際に有効である
。調整されたセラミック表面により、チャンバ30内の、湿式洗浄またはRIE
洗浄プロセスといった、より再生可能なエッチング特性が得られる。著しく向上
したエッチングプロセスの再生可能性をチャンバ30内で実施することが非常に
望ましい。
30の能動表面から除去し、これらの表面の元来の化学反応と表面機能グループ
を復活させる。処理および洗浄プロセスはさらに、窒化アルミニウム、炭化ホウ
素、窒化ホウ素、ダイアモンド、酸化シリコン、シリコン炭化物、窒化シリコン
、酸化チタン、チタン炭化物、酸化イットリウム、酸化ジルコニウムのうち少な
くとも1つを含有するようなセラミック表面に頑固に付着した、またはこれと化
学反応を生じたエッチング残留物を洗浄するのに特に有益である。活性洗浄ガス
は、エッチングプロセスと化学的に一致する表面化学論量と表面機能グループを
提供するためにこれらのセラミック表面を処理および再調整する際に有効である
。調整されたセラミック表面により、チャンバ30内の、湿式洗浄またはRIE
洗浄プロセスといった、より再生可能なエッチング特性が得られる。著しく向上
したエッチングプロセスの再生可能性をチャンバ30内で実施することが非常に
望ましい。
【0055】 本発明をその特定の好ましい形態を参照して説明したが、その他の形態も可能
である。当業者には明白であろうが、例えば、本発明の処理および洗浄プロセス
を、他の用途のためのチャンバの処理に使用することができる。また、やはり当
業者には明白であろうが、例えば、スパッタリングチャンバ、イオン注入チャン
バ、または堆積チャンバの処理にこのプロセスを単独で、あるいはその他の洗浄
プロセスと組み合わせて使用することもできる。従って、添付の請求項の精神と
範囲は、ここで述べた好ましい形態の説明に限定されるべきではない。
である。当業者には明白であろうが、例えば、本発明の処理および洗浄プロセス
を、他の用途のためのチャンバの処理に使用することができる。また、やはり当
業者には明白であろうが、例えば、スパッタリングチャンバ、イオン注入チャン
バ、または堆積チャンバの処理にこのプロセスを単独で、あるいはその他の洗浄
プロセスと組み合わせて使用することもできる。従って、添付の請求項の精神と
範囲は、ここで述べた好ましい形態の説明に限定されるべきではない。
【図1】 本発明のエッチング装置の部分略側面図である。
【図2】 基板をエッチングするため、また、エッチング装置の表面壁を洗浄および調整
するために使用するプロセス段階のフローチャートである。
するために使用するプロセス段階のフローチャートである。
【図3】 本発明のエッチング装置の別バージョンを示す部分略側面図である。
【図4】 本発明のエッチング装置の別バージョンを示す部分略側面図である。
【図5】 本発明のエッチング装置の別バージョンを示す部分略側面図である。
【図6】 本発明のエッチング装置の別バージョンを示す部分略側面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 キアン, キュ−イ アメリカ合衆国, カリフォルニア州, ミルピタス, ローズ ドライヴ 230 (72)発明者 リーヘイ, パトリック, エル アメリカ合衆国, カリフォルニア州, サン ノゼ, パークサイド アヴェニュ ー 1715 (72)発明者 モーン, ジョナサン, ディ. アメリカ合衆国, カリフォルニア州, サラトガ, パセオ プレサダ 13179 (72)発明者 チョウ, ウェイチン アメリカ合衆国, カリフォルニア州, フレモント, ヴィヴィアン プレイス 35875 (72)発明者 チェン, アーサー, ワイ. アメリカ合衆国, カリフォルニア州, フレモント, ボデガ コート 744 (72)発明者 サン, ズィ−ウェン アメリカ合衆国, カリフォルニア州, サン ノゼ, デストリー コート 126 (72)発明者 ハッチャー, ブライアン, ケー. アメリカ合衆国, カリフォルニア州, サン ノゼ, スプリングパーク サーク ル 361 Fターム(参考) 4K030 BA29 CA04 DA06 FA04 KA46 5F004 AA15 BA20 BB13 BB14 BB29 BC06 CA02 DA00 DA01 DA02 DA05 DA17 DA18 【要約の続き】 物を洗浄する場合に特に有益である。
Claims (38)
- 【請求項1】 エッチングチャンバの壁およびコンポーネントからエッチン
グ残留物を洗浄する方法であって、前記方法が、 (a)前記エッチングチャンバ付近のリモートチャンバ内に洗浄ガスを導入す
るステップと、 (b)前記リモートチャンバ内で前記洗浄ガスを活性化して、活性洗浄ガスを
形成するステップと、 (c)前記活性洗浄ガスを前記エッチングチャンバ内に導入して、前記エッチ
ングチャンバの前記壁およびコンポーネント上の前記エッチング残留物を洗浄す
るステップとを含む方法。 - 【請求項2】 前記エッチング残留物が、重合およびシリコン含有種を含有
し、厚さが約0.01から約1ミクロンである層を含み、 前記活性洗浄ガスが前記エッチングチャンバ内に、容積が約40,000cm 3 のチャンバに対して約200から約2000sccmと同等の流量FRで、約0
.5から約100秒間導入されることを特徴とする、請求項1に記載の方法。 - 【請求項3】 前記活性洗浄ガスが前記エッチングチャンバ内に、約0.5
から約24秒間導入されることを特徴とする、請求項1に記載の方法。 - 【請求項4】 前記洗浄ガスが、約500から約4000Wの電力レベルで
動作するマイクロ波プラズマ発生装置により前記リモートチャンバに加えられた
マイクロ波によって活性化されることを特徴とする、請求項2に記載の方法。 - 【請求項5】 前記エッチングチャンバが、前記リモートチャンバよりも低
圧に維持されることを特徴とする、請求項1に記載の方法。 - 【請求項6】 前記エッチングチャンバが、約0.1から約80mTorr
の圧力に維持され、前記リモートチャンバが約500から約3000mTorr
の圧力に維持されることを特徴とする、請求項8に記載の方法。 - 【請求項7】 前記洗浄ガスが、NF3、CF4、SF6、C2F6、CCl4、
C2Cl6、およびその混合物から成るグループから選択されることを特徴とする
、請求項1に記載の方法。 - 【請求項8】 前記エッチングチャンバ内の前記表面が、窒化アルミニウム
、炭化ホウ素、窒化ホウ素、ダイアモンド、酸化シリコン、炭化シリコン、窒化
シリコン、酸化チタン、炭化チタン、酸化イットリウム、酸化ジルコニウムの内
の1つ以上を含む、請求項1に記載の方法。 - 【請求項9】 前記エッチングチャンバ内で基板上のシリコン含有層をエッ
チングする第1ステップを含み、前記第1ステップが、 (a) 前記エッチングチャンバ内にシリコンエッチングガスを含むプロセス
ガスを導入するステップと、 (b) 前記チャンバ付近のインダクタコイルに、ソース電力レベルで高周波
電流を加えることにより、また前記チャンバ内のプロセス電極にバイアス電力レ
ベルで高周波電圧を加えることにより、前記プロセスガスからエッチングプラズ
マを形成するステップであり、前記ステップにおいて、前記ソース電力レベルの
前記バイアス電力レベルに対する前記電力比Prが約20:1よりも小さく、こ れにより、前記チャンバの前記壁およびコンポーネント上のエッチング残留物の
形成を減衰させながら、シリコン含有層を急速にエッチングする、前記ステップ
とによって行なわれる、請求項1に記載の方法。 - 【請求項10】 エッチングチャンバ内で基板をエッチングし、前記エッチ
ングチャンバ内の壁とコンポーネントの表面を処理および調整する方法であって
、前記方法が、 (a)前記エッチングチャンバ内で前記基板をエッチングし、これにより前記
エッチングチャンバ内の壁とコンポーネントの表面上にエッチング残留物を堆積
させるステップと、 (b)前記基板を前記チャンバの外に搬送しながら、同時に、高流量FRの活 性洗浄ガスの噴射を、実質的に前記表面を腐食することなく前記エッチングチャ
ンバの前記表面を処理および調整するのに十分な時間だけ、前記エッチングチャ
ンバ内に導入するステップと、 を含む方法。 - 【請求項11】 ステップ(b)で、前記活性洗浄ガスが、容積が約40,
000cm3のチャンバに対して約200から約2000sccmと同等の流量 FRで、約0.5から約100秒間の間、前記エッチングチャンバ内に導入され ることを特徴とする、請求項10に記載の方法。 - 【請求項12】 前記活性洗浄ガスが、前記エッチングチャンバ内に約0.
5から約24秒間の間導入されることを特徴とする、請求項11に記載の方法。 - 【請求項13】 前記洗浄ガスが、約500から約4000Wの電力レベル
で動作するマイクロ波プラズマ発生装置により前記リモートチャンバに加えられ
たマイクロ波によって活性化されることを特徴とする、請求項10に記載の方法
。 - 【請求項14】 前記エッチングチャンバが、前記リモートチャンバよりも
高圧に維持されていることを特徴とする、請求項1に記載の方法。 - 【請求項15】 前記エッチングチャンバが、約0.1から約80mTor
rの圧力に維持され、前記リモートチャンバが約500から約3000mTor
rの圧力に維持されることを特徴とする、請求項14に記載の方法。 - 【請求項16】 前記エッチングチャンバ内の前記表面が、窒化アルミニウ
ム、炭化ホウ素、窒化ホウ素、ダイアモンド、酸化シリコン、炭化シリコン、窒
化シリコン、酸化チタン、炭化チタン、酸化イットリウム、酸化ジルコニウムの
内の1つ以上を含むことを特徴とする、請求項11に記載の方法。 - 【請求項17】 エッチングチャンバの壁とコンポーネントからエッチング
残留物を除去するための前記エッチングチャンバの処理方法であって、前記方法
が、前記エッチングチャンバ内に活性洗浄ガスの噴射を、容積が約40,000
cm3のエッチングチャンバに対して少なくとも約200から約2000scc mと同等の流量FRで、約0.5から約100秒間導入して、実質的に前記チャ ンバの前記壁とコンポーネントを腐食することなく前記エッチング残留物を洗浄
するステップを含む方法。 - 【請求項18】 前記活性洗浄ガスが、前記エッチングチャンバ内に約0.
5から約24秒間導入されることを特徴とする、請求項17に記載の方法。 - 【請求項19】 前記洗浄ガスが、約500から約4000Wの電力レベル
で動作するマイクロ波プラズマ発生装置により前記リモートチャンバ内に加えら
れたマイクロ波によって活性化されることを特徴とする、請求項17に記載の方
法。 - 【請求項20】 前記エッチングチャンバが、前記リモートチャンバよりも
高圧に維持されることを特徴とする、請求項17に記載の方法。 - 【請求項21】 前記エッチングチャンバが、約0.1から約80mTor
rの圧力に維持され、前記リモートチャンバが約500から約3000mTor
rの圧力に維持されることを特徴とする、請求項20に記載の方法。 - 【請求項22】 前記エッチングチャンバ内の前記表面が、窒化アルミニウ
ム、炭化ホウ素、窒化ホウ素、ダイアモンド、酸化シリコン、炭化シリコン、窒
化シリコン、酸化チタン、炭化チタン、酸化イットリウム、酸化ジルコニウムの
内の1つ以上を含むことを特徴とする、請求項17に記載の方法。 - 【請求項23】 エッチングチャンバの壁およびコンポーネントからエッチ
ング残留物を除去するために前記エッチングチャンバを処理する方法であって、
前記方法が、 (a)リモートチャンバ内のガスアクティベーターを第1電力レベルに維持す
ることにより形成された第1活性洗浄ガスを、第1段階において、前記エッチン
グチャンバ内に導入するステップと、 (b)前記リモートチャンバ内の前記ガスアクティベーターを、前記第1電力
レベルとは異なる第2電力レベルに維持することにより形成された第2活性洗浄
ガスを、少なくとも1つの第2段階において前記エッチングチャンバ内に導入す
るステップとを含む方法。 - 【請求項24】 前記第1、第2段階の各々が、約0.5から約100秒間
の間実施されることを特徴とする、請求項23に記載の方法。 - 【請求項25】 前記第1段階において、前記マイクロ波発生装置に加えら
れる電力レベルが少なくとも約2000Wであり、前記第2段階において、前記
マイクロ波発生装置に加えられる電力レベルが約1000Wよりも低いことを特
徴とする、請求項23に記載の方法。 - 【請求項26】 前記段階の1つまたは両方において、前記活性洗浄ガスが
前記エッチングチャンバ内に、容積が約40,000cm3のチャンバに対して 約200から約2000sccmと同等の流量FRで導入されることを特徴とす る、請求項23に記載の方法。 - 【請求項27】 前記エッチングチャンバが、約0.1から約80mTor
rの圧力に維持され、前記リモートチャンバが約500から約3000mTor
rの圧力に維持されることを特徴とする、請求項23に記載の方法。 - 【請求項28】 前記エッチングチャンバ内の前記表面が、窒化アルミニウ
ム、炭化ホウ素、窒化ホウ素、ダイアモンド、酸化シリコン、炭化シリコン、窒
化シリコン、酸化チタン、炭化チタン、酸化イットリウム、酸化ジルコニウムの
内の1つ以上を含むことを特徴とする、請求項23に記載の方法。 - 【請求項29】 基板をエッチングする装置であって、前記装置が、 (a)プロセスガスをエッチングチャンバ内に導入するプロセスガス入口と、
基板をエッチングするために前記プロセスガスからプラズマを形成するプラズマ
発生装置と、消費したプロセスガスを前記エッチングチャンバから排気する排気
システムとを備えたエッチングチャンバと、 (b)内部で活性洗浄ガスを形成する前記エッチングチャンバに隣接するリモ
ートチャンバと、 (c)ガス分配システムとを含み、前記ガス分配システムが(i)前記活性洗
浄ガスを前記リモートチャンバから前記エッチングチャンバへと搬送するガス管
路と、(ii)前記活性洗浄ガスの流れを前記チャンバの1つ以上の内部表面に
、実質的に平行に且つ隣接するように方向付けるガス流分配器と、(iii)前
記ガス流分配器内への前記活性洗浄ガスの流れを調整するガス流調整器とを含む
、装置。 - 【請求項30】 前記ガス流分配器が、前記エッチングチャンバ内で、厚い
エッチング残留物層を有する、前記チャンバの前記表面の一部に隣接するように
配置された複数のガス注入ノズルを含むことを特徴とする、請求項29に記載の
装置。 - 【請求項31】 前記ガス流分配器が、前記チャンバの中心軸周囲で対称的
に配置された複数のガス注入ノズルを有することを特徴とする、請求項29に記
載の装置。 - 【請求項32】 前記ガス流注入ノズルが、前記チャンバの表面と平行する
板の後ろに配置されていることを特徴とする、請求項29に記載の装置。 - 【請求項33】 前記ガス流分配器が、前記チャンバ内のチャネル内で終わ
っている1つ以上のガス注入ノズルを含んでおり、前記チャネルが、前記チャネ
ルの少なくとも一部を覆う延長した環状のレッジを有することを特徴とする、請
求項29に記載の装置。 - 【請求項34】 基板をエッチングするために請求項29に記載の装置を使
用する方法であって、前記方法が、 (1)前記エッチングチャンバ内で前記基板をエッチングするステップと、 (2)前記チャンバから前記基板を取り外すステップと、 (3)ステップ(2)の最中又は後に、前記ガス流分配システムを用いて前記
エッチングチャンバ内に活性洗浄ガスを導入することにより、前記チャンバを洗
浄および調整するステップとを含む方法。 - 【請求項35】 基板をエッチングする装置であって、前記装置が、 (a) プロセスガスをエッチングチャンバ内に導入するプロセスガス入口と
、基板をエッチングするために前記プロセスガスからプラズマを形成するプラズ
マ発生装置と、消費したプロセスガスを前記エッチングチャンバから排気する排
気システムとを備えたエッチングチャンバと、 (b)内部で活性洗浄ガスを形成する前記エッチングチャンバに隣接するリモ
ートチャンバと、 (c)ガス分配システムとを含み、前記ガス分配システムが(i)前記活性洗
浄ガスを前記リモートチャンバから前記エッチングチャンバへと搬送するガス管
路と、(ii)厚いエッチング堆積層を有する、前記チャンバ内の表面に隣接し
て配置されたノズルを備えており、また、薄い堆積層を有する、前記チャンバの
一部を腐食することなく、より厚いエッチング堆積層を優先的に除去するために
前記活性洗浄ガスの流れをこれらの範囲に方向付けるガス流分配器とを含む、装
置。 - 【請求項36】 前記ガス流分配器が、前記チャンバの中心軸周囲で対称的
に配置された複数のガス注入ノズルを有することを特徴とする、請求項35に記
載の装置。 - 【請求項37】 前記ガス流注入ノズルが、前記チャンバの表面と平行する
板の後ろに配置されていることを特徴とする、請求項35に記載の装置。 - 【請求項38】 前記ガス流分配器が、前記チャンバ内のチャネル内で終わ
っている1つ以上のガス注入ノズルを含んでおり、前記チャネルが、前記チャネ
ルの少なくとも一部を覆う延長した環状のレッジを含むことを特徴とする、請求
項35に記載の装置。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/955,181 | 1997-10-21 | ||
US08/955,181 US6379575B1 (en) | 1997-10-21 | 1997-10-21 | Treatment of etching chambers using activated cleaning gas |
PCT/US1998/021806 WO1999020812A1 (en) | 1997-10-21 | 1998-10-14 | Method for cleaning an etching chamber |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2001520463A true JP2001520463A (ja) | 2001-10-30 |
Family
ID=25496492
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000517127A Withdrawn JP2001520463A (ja) | 1997-10-21 | 1998-10-14 | エッチングチャンバ洗浄方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US6379575B1 (ja) |
JP (1) | JP2001520463A (ja) |
WO (1) | WO1999020812A1 (ja) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005175466A (ja) * | 2003-11-26 | 2005-06-30 | Air Products & Chemicals Inc | 反応器表面から物質を除去するための方法、装置及び混合物 |
JP2007019452A (ja) * | 2005-07-08 | 2007-01-25 | Magnachip Semiconductor Ltd | 半導体素子の製造方法 |
US7598171B2 (en) | 2006-01-11 | 2009-10-06 | Renesas Technology Corp. | Method of manufacturing a semiconductor device |
KR101467585B1 (ko) * | 2006-04-26 | 2014-12-01 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 반도체 공정 시스템의 세정 |
US9991095B2 (en) | 2008-02-11 | 2018-06-05 | Entegris, Inc. | Ion source cleaning in semiconductor processing systems |
WO2020137528A1 (ja) * | 2018-12-25 | 2020-07-02 | 昭和電工株式会社 | 付着物除去方法及び成膜方法 |
Families Citing this family (240)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3381774B2 (ja) * | 1997-12-24 | 2003-03-04 | 東京エレクトロン株式会社 | CVD−Ti膜の成膜方法 |
FR2797715B1 (fr) * | 1999-07-13 | 2006-08-25 | Ibm | Procede de gravure plasma d'une couche de polysilicium au traver d'une couche de si02 gravee |
US6318381B1 (en) * | 1999-07-13 | 2001-11-20 | Micron Technology, Inc. | Methods of cleaning vaporization surfaces |
US6318384B1 (en) * | 1999-09-24 | 2001-11-20 | Applied Materials, Inc. | Self cleaning method of forming deep trenches in silicon substrates |
KR100767762B1 (ko) * | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US20030010354A1 (en) * | 2000-03-27 | 2003-01-16 | Applied Materials, Inc. | Fluorine process for cleaning semiconductor process chamber |
US6500356B2 (en) * | 2000-03-27 | 2002-12-31 | Applied Materials, Inc. | Selectively etching silicon using fluorine without plasma |
US6564810B1 (en) * | 2000-03-28 | 2003-05-20 | Asm America | Cleaning of semiconductor processing chambers |
KR20020087477A (ko) * | 2000-04-03 | 2002-11-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마 프로세싱 시스템 실리콘 루프의 세정 방법 |
TW503449B (en) * | 2000-04-18 | 2002-09-21 | Ngk Insulators Ltd | Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members |
US6506254B1 (en) | 2000-06-30 | 2003-01-14 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
US6890861B1 (en) | 2000-06-30 | 2005-05-10 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
US6450117B1 (en) * | 2000-08-07 | 2002-09-17 | Applied Materials, Inc. | Directing a flow of gas in a substrate processing chamber |
WO2002019390A2 (en) * | 2000-08-31 | 2002-03-07 | Chemtrace, Inc. | Cleaning of semiconductor process equipment chamber parts using organic solvents |
TWI237066B (en) * | 2000-12-14 | 2005-08-01 | Mosel Vitelic Inc | A method of prevent an etcher from being eroded |
US6843258B2 (en) * | 2000-12-19 | 2005-01-18 | Applied Materials, Inc. | On-site cleaning gas generation for process chamber cleaning |
US6805952B2 (en) | 2000-12-29 | 2004-10-19 | Lam Research Corporation | Low contamination plasma chamber components and methods for making the same |
US6613442B2 (en) * | 2000-12-29 | 2003-09-02 | Lam Research Corporation | Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof |
US6533910B2 (en) * | 2000-12-29 | 2003-03-18 | Lam Research Corporation | Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof |
US6790242B2 (en) * | 2000-12-29 | 2004-09-14 | Lam Research Corporation | Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof |
US6620520B2 (en) | 2000-12-29 | 2003-09-16 | Lam Research Corporation | Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof |
US6852242B2 (en) * | 2001-02-23 | 2005-02-08 | Zhi-Wen Sun | Cleaning of multicompositional etchant residues |
US7159597B2 (en) * | 2001-06-01 | 2007-01-09 | Applied Materials, Inc. | Multistep remote plasma clean process |
KR20030001695A (ko) * | 2001-06-26 | 2003-01-08 | 삼성전자 주식회사 | 고밀도 플라즈마 화학기상증착 챔버의 세정장치 및 그 방법 |
US6777045B2 (en) * | 2001-06-27 | 2004-08-17 | Applied Materials Inc. | Chamber components having textured surfaces and method of manufacture |
US20090001524A1 (en) * | 2001-11-26 | 2009-01-01 | Siegele Stephen H | Generation and distribution of a fluorine gas |
US20030121796A1 (en) * | 2001-11-26 | 2003-07-03 | Siegele Stephen H | Generation and distribution of molecular fluorine within a fabrication facility |
US20040151656A1 (en) * | 2001-11-26 | 2004-08-05 | Siegele Stephen H. | Modular molecular halogen gas generation system |
US20040037768A1 (en) * | 2001-11-26 | 2004-02-26 | Robert Jackson | Method and system for on-site generation and distribution of a process gas |
US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US6902629B2 (en) * | 2002-04-12 | 2005-06-07 | Applied Materials, Inc. | Method for cleaning a process chamber |
DE10226603A1 (de) * | 2002-06-14 | 2004-01-08 | Infineon Technologies Ag | Verfahren zum Strukturieren einer Siliziumschicht sowie dessen Verwendung zur Herstellung einer integrierten Halbleiterschaltung |
US20030233977A1 (en) * | 2002-06-20 | 2003-12-25 | Yeshwanth Narendar | Method for forming semiconductor processing components |
US7204913B1 (en) * | 2002-06-28 | 2007-04-17 | Lam Research Corporation | In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control |
US7588036B2 (en) * | 2002-07-01 | 2009-09-15 | Applied Materials, Inc. | Chamber clean method using remote and in situ plasma cleaning systems |
US20040025903A1 (en) * | 2002-08-09 | 2004-02-12 | Howard Bradley J. | Method of in-situ chamber cleaning |
US6943350B2 (en) * | 2002-08-27 | 2005-09-13 | Kla-Tencor Technologies Corporation | Methods and apparatus for electron beam inspection of samples |
US7964085B1 (en) | 2002-11-25 | 2011-06-21 | Applied Materials, Inc. | Electrochemical removal of tantalum-containing materials |
US6923189B2 (en) * | 2003-01-16 | 2005-08-02 | Applied Materials, Inc. | Cleaning of CVD chambers using remote source with cxfyoz based chemistry |
US6825123B2 (en) * | 2003-04-15 | 2004-11-30 | Saint-Goban Ceramics & Plastics, Inc. | Method for treating semiconductor processing components and components formed thereby |
US20060105182A1 (en) * | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Erosion resistant textured chamber surface |
US20040256353A1 (en) * | 2003-04-24 | 2004-12-23 | Tokyo Electron Limited | Method and system for deep trench silicon etch |
US7297247B2 (en) * | 2003-05-06 | 2007-11-20 | Applied Materials, Inc. | Electroformed sputtering target |
US7067432B2 (en) * | 2003-06-26 | 2006-06-27 | Applied Materials, Inc. | Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing |
US20050019963A1 (en) * | 2003-07-21 | 2005-01-27 | Texas Instruments Incorporated | Maintaining a reactor chamber of a chemical vapor deposition system |
US7479454B2 (en) * | 2003-09-30 | 2009-01-20 | Tokyo Electron Limited | Method and processing system for monitoring status of system components |
US7371688B2 (en) * | 2003-09-30 | 2008-05-13 | Air Products And Chemicals, Inc. | Removal of transition metal ternary and/or quaternary barrier materials from a substrate |
US7910218B2 (en) * | 2003-10-22 | 2011-03-22 | Applied Materials, Inc. | Cleaning and refurbishing chamber components having metal coatings |
US7267741B2 (en) * | 2003-11-14 | 2007-09-11 | Lam Research Corporation | Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon |
US7501370B2 (en) * | 2004-01-06 | 2009-03-10 | Saint-Gobain Ceramics & Plastics, Inc. | High purity silicon carbide wafer boats |
US7267842B2 (en) | 2004-03-15 | 2007-09-11 | Air Products And Chemicals, Inc. | Method for removing titanium dioxide deposits from a reactor |
US7628864B2 (en) * | 2004-04-28 | 2009-12-08 | Tokyo Electron Limited | Substrate cleaning apparatus and method |
US20050241671A1 (en) * | 2004-04-29 | 2005-11-03 | Dong Chun C | Method for removing a substance from a substrate using electron attachment |
CN100352013C (zh) * | 2004-07-16 | 2007-11-28 | 鸿富锦精密工业(深圳)有限公司 | 干蚀刻后处理方法 |
US7119032B2 (en) | 2004-08-23 | 2006-10-10 | Air Products And Chemicals, Inc. | Method to protect internal components of semiconductor processing equipment using layered superlattice materials |
US7819981B2 (en) * | 2004-10-26 | 2010-10-26 | Advanced Technology Materials, Inc. | Methods for cleaning ion implanter components |
US7579067B2 (en) * | 2004-11-24 | 2009-08-25 | Applied Materials, Inc. | Process chamber component with layered coating and method |
TWI365919B (en) * | 2004-12-28 | 2012-06-11 | Tokyo Electron Ltd | Film formation apparatus and method of using the same |
US20060266288A1 (en) * | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
US8617672B2 (en) | 2005-07-13 | 2013-12-31 | Applied Materials, Inc. | Localized surface annealing of components for substrate processing chambers |
US7762114B2 (en) * | 2005-09-09 | 2010-07-27 | Applied Materials, Inc. | Flow-formed chamber component having a textured surface |
US7704887B2 (en) * | 2005-11-22 | 2010-04-27 | Applied Materials, Inc. | Remote plasma pre-clean with low hydrogen pressure |
WO2007077718A1 (ja) * | 2006-01-06 | 2007-07-12 | Hitachi Kokusai Electric Inc. | 基板処理方法および基板処理装置 |
JP5050369B2 (ja) * | 2006-03-06 | 2012-10-17 | 東京エレクトロン株式会社 | 処理装置 |
JP4159584B2 (ja) * | 2006-06-20 | 2008-10-01 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
CN100459032C (zh) * | 2006-09-30 | 2009-02-04 | 中芯国际集成电路制造(上海)有限公司 | 减少反应室颗粒的工艺方法 |
US20080106842A1 (en) * | 2006-11-06 | 2008-05-08 | Tokyo Electron Limited | Mounting device, plasma processing apparatus and plasma processing method |
US20080142039A1 (en) * | 2006-12-13 | 2008-06-19 | Advanced Technology Materials, Inc. | Removal of nitride deposits |
US7981262B2 (en) * | 2007-01-29 | 2011-07-19 | Applied Materials, Inc. | Process kit for substrate processing chamber |
US20080196661A1 (en) * | 2007-02-20 | 2008-08-21 | Brian West | Plasma sprayed deposition ring isolator |
US7942969B2 (en) | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
US7871926B2 (en) * | 2007-10-22 | 2011-01-18 | Applied Materials, Inc. | Methods and systems for forming at least one dielectric layer |
US8252696B2 (en) * | 2007-10-22 | 2012-08-28 | Applied Materials, Inc. | Selective etching of silicon nitride |
CN101884099B (zh) * | 2007-12-20 | 2012-07-25 | 圣戈本陶瓷及塑料股份有限公司 | 用于处理半导体加工部件的方法以及由此形成的部件 |
US7967913B2 (en) * | 2008-10-22 | 2011-06-28 | Applied Materials, Inc. | Remote plasma clean process with cycled high and low pressure clean steps |
US20110091700A1 (en) * | 2009-10-20 | 2011-04-21 | Saint-Gobain Ceramics & Plastics, Inc. | Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP2012109472A (ja) * | 2010-11-19 | 2012-06-07 | Hitachi High-Technologies Corp | プラズマ処理方法 |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120205045A1 (en) * | 2011-02-11 | 2012-08-16 | United Microelectronics Corp. | Semiconductor machine and cleaning process thereof |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
JP5956933B2 (ja) * | 2013-01-15 | 2016-07-27 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9051638B2 (en) | 2013-03-01 | 2015-06-09 | Poole Ventura, Inc. | In-situ sputtering apparatus |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
JP6422262B2 (ja) * | 2013-10-24 | 2018-11-14 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) * | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
CN104715994B (zh) * | 2013-12-13 | 2017-08-25 | 中微半导体设备(上海)有限公司 | 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法 |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) * | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
TWI726863B (zh) * | 2015-01-22 | 2021-05-11 | 家陞 陳 | 非熱軟式電漿清潔技術 |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
JP6604738B2 (ja) * | 2015-04-10 | 2019-11-13 | 東京エレクトロン株式会社 | プラズマエッチング方法、パターン形成方法及びクリーニング方法 |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
JP6965313B2 (ja) * | 2018-08-13 | 2021-11-10 | エスケーシー ソルミックス カンパニー,リミテッド | エッチング装置用リング状部品及びこれを用いた基板のエッチング方法 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN112840039A (zh) * | 2018-10-05 | 2021-05-25 | 朗姆研究公司 | 处理室表面移除金属污染物 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN113838733B (zh) * | 2020-06-23 | 2024-07-16 | 拓荆科技股份有限公司 | 一种改进洁净腔室内环境的方法 |
US11699577B2 (en) * | 2021-05-25 | 2023-07-11 | Applied Materials, Inc. | Treatment for high-temperature cleans |
CN115318761B (zh) * | 2022-08-16 | 2023-10-13 | 长鑫存储技术有限公司 | 腔室清洗方法 |
Family Cites Families (56)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4282267A (en) | 1979-09-20 | 1981-08-04 | Western Electric Co., Inc. | Methods and apparatus for generating plasmas |
JPS5782955A (en) | 1980-11-12 | 1982-05-24 | Hitachi Ltd | Microwave plasma generating apparatus |
AU544534B2 (en) | 1983-06-14 | 1985-06-06 | Toyota Jidosha Kabushiki Kaisha | Plasma coating |
JPS6074626A (ja) | 1983-09-30 | 1985-04-26 | Fujitsu Ltd | ウエハー処理方法及び装置 |
JPS6240728A (ja) | 1985-08-15 | 1987-02-21 | Tokuda Seisakusho Ltd | ドライエツチング装置 |
JPH0740566B2 (ja) | 1986-02-04 | 1995-05-01 | 株式会社日立製作所 | プラズマ処理方法及びその装置 |
US4786352A (en) | 1986-09-12 | 1988-11-22 | Benzing Technologies, Inc. | Apparatus for in-situ chamber cleaning |
US4863561A (en) | 1986-12-09 | 1989-09-05 | Texas Instruments Incorporated | Method and apparatus for cleaning integrated circuit wafers |
US5158644A (en) | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US4867841A (en) | 1987-07-16 | 1989-09-19 | Texas Instruments Incorporated | Method for etch of polysilicon film |
US4818326A (en) | 1987-07-16 | 1989-04-04 | Texas Instruments Incorporated | Processing apparatus |
US4876212A (en) | 1987-10-01 | 1989-10-24 | Motorola Inc. | Process for fabricating complimentary semiconductor devices having pedestal structures |
US4975144A (en) | 1988-03-22 | 1990-12-04 | Semiconductor Energy Laboratory Co., Ltd. | Method of plasma etching amorphous carbon films |
US5084126A (en) | 1988-12-29 | 1992-01-28 | Texas Instruments Incorporated | Method and apparatus for uniform flow distribution in plasma reactors |
US5207836A (en) * | 1989-08-25 | 1993-05-04 | Applied Materials, Inc. | Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus |
US5002632A (en) | 1989-11-22 | 1991-03-26 | Texas Instruments Incorporated | Method and apparatus for etching semiconductor materials |
JPH03170678A (ja) * | 1989-11-29 | 1991-07-24 | Fujitsu Ltd | 反応容器のクリーニング方法 |
JP2949874B2 (ja) * | 1990-11-21 | 1999-09-20 | 富士電機株式会社 | Ecrプラズマcvd装置ドライクリーニングの方法 |
US5164330A (en) | 1991-04-17 | 1992-11-17 | Intel Corporation | Etchback process for tungsten utilizing a NF3/AR chemistry |
JP3253675B2 (ja) | 1991-07-04 | 2002-02-04 | 株式会社東芝 | 荷電ビーム照射装置及び方法 |
DE4132559A1 (de) | 1991-09-30 | 1993-04-08 | Siemens Ag | Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen |
US5318668A (en) | 1991-10-24 | 1994-06-07 | Matsushita Electric Industrial Co., Ltd. | Dry etching method |
US5443686A (en) | 1992-01-15 | 1995-08-22 | International Business Machines Corporation Inc. | Plasma CVD apparatus and processes |
DE4202158C1 (ja) * | 1992-01-27 | 1993-07-22 | Siemens Ag, 8000 Muenchen, De | |
JPH05206069A (ja) | 1992-01-29 | 1993-08-13 | Fujitsu Ltd | プラズマエッチング法及びプラズマエッチング装置 |
US5282899A (en) | 1992-06-10 | 1994-02-01 | Ruxam, Inc. | Apparatus for the production of a dissociated atomic particle flow |
EP0647163B1 (en) * | 1992-06-22 | 1998-09-09 | Lam Research Corporation | A plasma cleaning method for removing residues in a plasma treatment chamber |
US5716494A (en) | 1992-06-22 | 1998-02-10 | Matsushita Electric Industrial Co., Ltd. | Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate |
JP3227522B2 (ja) | 1992-10-20 | 2001-11-12 | 株式会社日立製作所 | マイクロ波プラズマ処理方法及び装置 |
US5413954A (en) | 1992-11-10 | 1995-05-09 | At&T Bell Laboratories | Method of making a silicon-based device comprising surface plasma cleaning |
JP3277394B2 (ja) | 1992-12-04 | 2002-04-22 | ソニー株式会社 | 半導体装置の製造方法 |
JPH0729879A (ja) | 1993-06-24 | 1995-01-31 | Sony Corp | 半導体装置の製造方法 |
US5382316A (en) | 1993-10-29 | 1995-01-17 | Applied Materials, Inc. | Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure |
JPH07142444A (ja) * | 1993-11-12 | 1995-06-02 | Hitachi Ltd | マイクロ波プラズマ処理装置および処理方法 |
US5798016A (en) | 1994-03-08 | 1998-08-25 | International Business Machines Corporation | Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability |
US5620615A (en) | 1994-05-13 | 1997-04-15 | Micron Technology, Inc. | Method of etching or removing W and WSix films |
JPH07326605A (ja) * | 1994-05-31 | 1995-12-12 | Kokusai Electric Co Ltd | ガスクリーニング方法及びその装置 |
JPH0831752A (ja) * | 1994-07-15 | 1996-02-02 | Toshiba Corp | Cvd装置の反応室のクリーニング方法およびコーティング方法 |
EP0697467A1 (en) | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
US5811022A (en) | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
US5585012A (en) * | 1994-12-15 | 1996-12-17 | Applied Materials Inc. | Self-cleaning polymer-free top electrode for parallel electrode etch operation |
JPH08319586A (ja) * | 1995-05-24 | 1996-12-03 | Nec Yamagata Ltd | 真空処理装置のクリーニング方法 |
US5983828A (en) * | 1995-10-13 | 1999-11-16 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
US5644153A (en) | 1995-10-31 | 1997-07-01 | Micron Technology, Inc. | Method for etching nitride features in integrated circuit construction |
US5626775A (en) | 1996-05-13 | 1997-05-06 | Air Products And Chemicals, Inc. | Plasma etch with trifluoroacetic acid and derivatives |
EP0777258A3 (en) | 1995-11-29 | 1997-09-17 | Applied Materials Inc | Self-cleaning plasma processing reactor |
US5817534A (en) * | 1995-12-04 | 1998-10-06 | Applied Materials, Inc. | RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US6200412B1 (en) | 1996-02-16 | 2001-03-13 | Novellus Systems, Inc. | Chemical vapor deposition system including dedicated cleaning gas injection |
US5908319A (en) * | 1996-04-24 | 1999-06-01 | Ulvac Technologies, Inc. | Cleaning and stripping of photoresist from surfaces of semiconductor wafers |
US5788799A (en) * | 1996-06-11 | 1998-08-04 | Applied Materials, Inc. | Apparatus and method for cleaning of semiconductor process chamber surfaces |
US5788778A (en) * | 1996-09-16 | 1998-08-04 | Applied Komatsu Technology, Inc. | Deposition chamber cleaning technique using a high power remote excitation source |
US5869401A (en) | 1996-12-20 | 1999-02-09 | Lam Research Corporation | Plasma-enhanced flash process |
US5849092A (en) * | 1997-02-25 | 1998-12-15 | Applied Materials, Inc. | Process for chlorine trifluoride chamber cleaning |
US5843239A (en) * | 1997-03-03 | 1998-12-01 | Applied Materials, Inc. | Two-step process for cleaning a substrate processing chamber |
US6003526A (en) * | 1997-09-12 | 1999-12-21 | Taiwan Semiconductor Manufacturing Company, Ltd | In-sit chamber cleaning method |
-
1997
- 1997-10-21 US US08/955,181 patent/US6379575B1/en not_active Expired - Lifetime
-
1998
- 1998-10-14 WO PCT/US1998/021806 patent/WO1999020812A1/en active Search and Examination
- 1998-10-14 JP JP2000517127A patent/JP2001520463A/ja not_active Withdrawn
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005175466A (ja) * | 2003-11-26 | 2005-06-30 | Air Products & Chemicals Inc | 反応器表面から物質を除去するための方法、装置及び混合物 |
JP2007019452A (ja) * | 2005-07-08 | 2007-01-25 | Magnachip Semiconductor Ltd | 半導体素子の製造方法 |
KR101194020B1 (ko) * | 2005-07-08 | 2012-10-24 | 매그나칩 반도체 유한회사 | 반도체 장치 제조 방법 |
US7598171B2 (en) | 2006-01-11 | 2009-10-06 | Renesas Technology Corp. | Method of manufacturing a semiconductor device |
US7834404B2 (en) | 2006-01-11 | 2010-11-16 | Renesas Electronics Corporation | Semiconductor device |
US8058166B2 (en) | 2006-01-11 | 2011-11-15 | Renesas Electronics Corporation | Method of manufacturing a semiconductor device |
KR101467585B1 (ko) * | 2006-04-26 | 2014-12-01 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 반도체 공정 시스템의 세정 |
US9991095B2 (en) | 2008-02-11 | 2018-06-05 | Entegris, Inc. | Ion source cleaning in semiconductor processing systems |
WO2020137528A1 (ja) * | 2018-12-25 | 2020-07-02 | 昭和電工株式会社 | 付着物除去方法及び成膜方法 |
JPWO2020137528A1 (ja) * | 2018-12-25 | 2021-11-04 | 昭和電工株式会社 | 付着物除去方法及び成膜方法 |
JP7367703B2 (ja) | 2018-12-25 | 2023-10-24 | 株式会社レゾナック | 付着物除去方法及び成膜方法 |
Also Published As
Publication number | Publication date |
---|---|
WO1999020812A1 (en) | 1999-04-29 |
US6379575B1 (en) | 2002-04-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2001520463A (ja) | エッチングチャンバ洗浄方法 | |
US6136211A (en) | Self-cleaning etch process | |
US6872322B1 (en) | Multiple stage process for cleaning process chambers | |
US6787054B2 (en) | Two-stage etching process | |
US5863339A (en) | Chamber etching of plasma processing apparatus | |
KR100886981B1 (ko) | 플라즈마 처리 장치 및 플라즈마 처리 방법 | |
JP4907827B2 (ja) | ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法 | |
US6337277B1 (en) | Clean chemistry low-k organic polymer etch | |
JP2010140944A (ja) | プラズマエッチング装置及びプラズマクリーニング方法 | |
JP2000311889A (ja) | プラズマエッチングを特長とする腐食防止表面のエッチング後の処理方法 | |
TW202034399A (zh) | 電漿處理方法 | |
JPH0982687A (ja) | 半導体装置の製造方法 | |
EP0933802A1 (en) | Process for the production of semiconductor device | |
US20050161435A1 (en) | Method of plasma etching | |
JP2650178B2 (ja) | ドライエッチング方法及び装置 | |
JP4224374B2 (ja) | プラズマ処理装置の処理方法およびプラズマ処理方法 | |
JP2004514272A (ja) | 基板のクリーニング装置及び方法 | |
JP2003273077A (ja) | ドライクリーニング方法及びドライクリーニング用基板 | |
JP2004063658A (ja) | ドライエッチング方法及び装置 | |
JP3432722B2 (ja) | プラズマ成膜処理方法及びプラズマ処理装置 | |
JP2004259819A (ja) | 試料の表面処理装置及び表面処理方法 | |
JP3172340B2 (ja) | プラズマ処理装置 | |
JP3082702B2 (ja) | プラズマ処理装置及び金属配線のエッチング方法 | |
JPH09199484A (ja) | 半導体装置の製造方法 | |
JPH11297675A (ja) | 半導体製造装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A300 | Application deemed to be withdrawn because no request for examination was validly filed |
Free format text: JAPANESE INTERMEDIATE CODE: A300 Effective date: 20060110 |