KR102054017B1 - 건식 금속 에칭 방법 - Google Patents

건식 금속 에칭 방법 Download PDF

Info

Publication number
KR102054017B1
KR102054017B1 KR1020120100351A KR20120100351A KR102054017B1 KR 102054017 B1 KR102054017 B1 KR 102054017B1 KR 1020120100351 A KR1020120100351 A KR 1020120100351A KR 20120100351 A KR20120100351 A KR 20120100351A KR 102054017 B1 KR102054017 B1 KR 102054017B1
Authority
KR
South Korea
Prior art keywords
substrate
plasma
containing layer
aluminum
exposing
Prior art date
Application number
KR1020120100351A
Other languages
English (en)
Other versions
KR20130028873A (ko
Inventor
유스케 오자와
히로토 오타케
에이지 스즈키
카우식 쿠마르
앤드류 더블유. 메츠
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20130028873A publication Critical patent/KR20130028873A/ko
Application granted granted Critical
Publication of KR102054017B1 publication Critical patent/KR102054017B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32247Resonators

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 상의 알루미늄 함유층을 에칭하는 방법이 설명된다. 이 방법은, 할로겐 원소를 함유한 공정 조성물로부터 플라즈마를 형성하는 단계, 및 기판을 플라즈마에 노출시켜 알루미늄 함유층을 에칭하는 단계를 포함한다. 이 방법은, 기판을 산소 함유 환경에 노출시켜, 알루미늄 함유층의 표면을 산화시키고 알루미늄 함유층의 에칭률을 조절하는 단계를 추가로 포함할 수 있다. 이 방법은 또한, HBr 및 화학식이 CxHyRz(R은 할로겐 원소, x와 y는 1 또는 그 이상, z는 0 또는 그 이상)인 첨가 가스를 함유한 공정 조성물로부터 제1 플라즈마를 형성하는 단계, HBr을 함유한 공정 조성물로부터 제2 플라즈마를 형성하는 단계, 및 상기 기판을 제2 플라즈마에 노출시켜 알루미늄 함유층을 에칭하는 단계를 추가로 포함할 수도 있다.

Description

건식 금속 에칭 방법{DRY METAL ETCHING METHOD}
본 발명은 기판 상의 금속 함유층을 에칭하는 방법에 관한 것이다.
반도체 제조에 있어서, 금속 함유 물질은 흔히 존재하며 공정 통합에 있어서 심각한 난관이 되고 있다. 특히, 개선된 금속 에칭 공정이 요구된다.
본 발명의 실시예는 기판 상의 금속 함유층을 에칭하는 방법에 관한 것이다. 본 발명의 추가적인 실시예는, 기판 상의 알루미늄 함유층, 예를 들어 알루미늄, 알루미늄 합금, 산화 알루미늄(AlOx) 등을 에칭하는 방법에 관한 것이다.
일 실시예에 따르면, 기판 상의 금속 함유층을 에칭하는 방법이 기술되어 있다. 이 방법은, 알루미늄 함유층이 형성된 기판을 플라즈마 처리 시스템에 배치하는 단계, 할로겐 원소를 함유한 공정 조성물로부터 플라즈마를 형성하는 단계, 및 상기 기판을 플라즈마에 노출시켜 알루미늄 함유층을 에칭하는 단계를 포함한다. 이 방법은, 상기 기판을 산소 함유 환경에 노출시켜 알루미늄 함유층의 표면을 산화시키고 알루미늄 함유층의 에칭률(etch rate)을 조절하는 단계를 추가로 포함한다.
다른 실시예에 따르면, 기판 상의 금속 함유층을 에칭하는 방법이 기술되어 있다. 이 방법은, 알루미늄 함유층이 형성된 기판을 플라즈마 처리 시스템에 배치하는 단계, HBr 및 화학식이 CxHyRz(R은 할로겐 원소, x와 y는 1 또는 그 이상, z는 0 또는 그 이상)인 첨가 가스를 함유한 공정 조성물로부터 제1 플라즈마를 형성하는 단계, 및 상기 기판을 제1 플라즈마에 노출시켜 알루미늄 함유층을 에칭하는 단계를 포함한다. 이 방법은, HBr을 함유한 공정 조성물로부터 제2 플라즈마를 형성하는 단계, 및 상기 기판을 제2 플라즈마에 노출시켜 알루미늄 함유층을 에칭하는 단계를 추가로 포함한다.
또다른 실시예에 따르면, 기판 상의 금속 함유층을 에칭하는 방법이 기술되어 있다. 이 방법은, 알루미늄 함유층이 형성된 기판을 플라즈마 처리 시스템에 배치하는 단계, 할로겐 원소를 함유한 공정 조성물로부터 플라즈마를 형성하는 단계, 상기 기판이 놓이는 기판 홀더에 RF(radio frequency) 전력을 커플링하여 기판에 전기적 바이어스를 인가하는 단계, 및 상기 기판을 플라즈마에 노출시켜 알루미늄 함유층을 에칭하는 단계를 포함한다. 이 방법은, 전기적 바이어스를 위한 RF 전력을 조절하여 기판 상에 형성된 알루미늄 함유층과 Si 및 O 함유층 간의 목표 에칭 선택도를 달성하는 단계를 추가로 포함한다.
도 1a 내지 도 1c는 기판 상에 디바이스 구조를 준비하는 다양한 방법을 도식적으로 표현한 도면이다.
도 2는 일 실시예에 따른 기판 상의 금속 함유층을 에칭하는 방법을 설명하는 순서도이다.
도 3a 내지 도 3c는 다른 실시예에 따른 기판 상의 금속 함유층을 에칭하는 순서를 도식적으로 나타낸 도면이다.
도 4는 다른 실시예에 따른 기판 상의 금속 함유층을 에칭하는 방법을 설명하는 순서도이다.
도 5는 일 실시예에 따른 플라즈마 처리 시스템을 도식적으로 나타낸 도면이다.
도 6은 다른 실시예에 따른 플라즈마 처리 시스템을 도식적으로 나타낸 도면이다.
도 7은 다른 실시예에 따른 플라즈마 처리 시스템을 도식적으로 나타낸 도면이다.
도 8은 다른 실시예에 따른 플라즈마 처리 시스템을 도식적으로 나타낸 도면이다.
도 9는 다른 실시예에 따른 플라즈마 처리 시스템을 도식적으로 나타낸 도면이다.
도 10은 다른 실시예에 따른 플라즈마 처리 시스템을 도식적으로 나타낸 도면이다.
도 11은 다른 실시예에 따른 플라즈마 처리 시스템을 도식적으로 나타낸 도면이다.
도 12는 일 실시예에 따른 플라즈마 소스의 단면도이다.
도 13a 및 도 13b는 다른 실시예에 따른 플라즈마 소스의 단면도 및 저면도이다.
도 14는 또다른 실시예에 따른 플라즈마 소스의 단면도이다.
이하의 설명에서는, 한정이 아닌 설명을 목적으로, 처리 시스템의 특정한 기하 형상, 그에 사용된 다양한 요소 및 공정의 설명과 같은 특정의 세부 사항을 설명하고 있다. 그러나, 본 발명을 이들 특정의 세부 사항으로부터 벗어나는 다른 양태로 실시할 수도 있음을 이해해야 한다.
유사하게, 설명하려는 목적에서, 본 발명에 대한 철저한 이해를 제공하기 위하여, 특정한 숫자, 재료, 및 설정이 설명되었다. 그럼에도 불구하고, 본 발명은 특정한 세부사항 없이 실행될 수도 있다. 또한, 도면에 나타낸 다양한 실시예들은 설명을 위해 묘사된 것이며, 반드시 정확한 비율로 그려진 것은 아님을 이해해야 한다.
본 발명을 이해하는 데 가장 도움이 되는 방식으로, 다양한 과정들, 복수의 별개의 과정들이 차례로 설명될 것이다. 그러나, 설명의 순서가, 이러한 과정들이 반드시 순서대로 행해져야 함을 의미하는 것으로 해석되어서는 안 된다. 특히, 이러한 과정들은, 제시된 순서대로 수행될 필요가 없다. 설명된 과정들은, 설명된 실시예와는 다른 순서로 수행될 수도 있다. 추가적인 실시예에서는, 다양한 추가적인 과정이 수행되거나, 및/또는 설명된 과정들이 생략될 수도 있다.
본 명세서에서 “기판”이란, 일반적으로 본 발명에 따라서 처리되는 물체를 지칭한다. 이 기판에는, 특히 반도체나 다른 전자 디바이스 등 디바이스의 어떠한 재료부나 구조도 포함될 수 있으며, 예를 들어 반도체 웨이퍼와 같은 기초 기판 구조, 또는 기초 기판 구조 상의 얇은 필름과 같은 층일 수도 있다. 따라서, 기판은 어떠한 특정한 기초 구조, 하부층 또는 상부층, 패턴의 존부에도 한정되지 않고, 그러한 모든 층이나 기초 구조, 및 층 및/또는 기초 구조의 조합을 포함하도록 의도된 것이다. 이하의 설명에서 특정한 타입의 기판을 참조할 수 있으나, 이는 단지 설명을 위한 것일 뿐 한정하려는 목적은 아니다.
전술한 것과 같이, 반도체 제조에서의 금속 에칭은 공정 통합에 있어서 계속해서 심각한 난관이 되고 있다. 예를 들어, 도 1a는 제1 금속 함유층의 패터닝(patterning) 스킴(scheme)을 나타낸 도면이다. 여기에서, 금속 함유층(150A, 150B, 150C)을 포함하는 디바이스 스택을 패터닝함에 의해, 복수의 디바이스 구조(100)가 기판(110) 상에 형성되었다. 금속 함유층(150A, 150B, 150C)은 금속, 금속 합금, 금속 산화물, 금속 질화물 또는 금속 규산염을 포함할 수 있다. 따라서, 적합한 프로파일 제어 및 기판 상의 금속 함유층과 다른 물질들 간의 에칭 선택도를 달성하면서 금속 함유층(150A, 150B, 150C)을 패턴 에칭할 필요성이 있다.
다른 예로, 도 1b는 제2 금속 함유층의 패터닝 스킴을 나타낸 도면이다. 여기에서, 실리콘 질화물층이나 실리콘 산화물층과 같은 유전체층(114)을 마련하고 이 유전체층(114)에 형성된 패턴을 금속 함유층(151A, 151B, 151C)으로 채움에 의해, 복수의 디바이스 구조(101)가 기판(110) 상에 형성되었다. 금속 함유층(151A, 151B, 151C)은 금속, 금속 합금, 금속 산화물, 금속 질화물 또는 금속 규산염을 포함할 수 있다. 따라서, 기판 상의 금속 함유층과 다른 물질들 간의 적합한 에칭 선택도를 달성하면서 금속 함유층(151A, 151B, 151C)을 에치 백(etch back)할 필요성이 있다.
두 경우 모두에서, 금속 함유층의 노출된 표면에 형성되는 금속 산화물을 제거하고, 금속 함유층을 제어 가능하게 에칭하는 것이 중요하다. 예를 들어 후자의 경우, 금속 함유층(151A, 151B, 151C)의 노출된 표면에 형성된 금속 산화물을 제거하고, 에칭 깊이가 약 300옹스트롬까지의 범위가 되도록(예를 들면, 약 200 옹스트롬까지, 또는 약 50옹스트롬에서 약 200옹스트롬의 범위) 금속 함유층(151A, 151B, 151C)을 제어 가능하게 에칭하는 것이 중요하다. 또한, 금속 함유층(151A, 151B, 151C)을 유전체층(114)에 대해 선택적으로 에칭하는 것이 중요하다. 나아가, 금속 함유층(151A, 151B, 151C)을 기판(110) 전체에 걸쳐서 균일하게 에칭하는 것이 중요하다.
도 1c에 나타낸 것과 같이, 유전체층(114)에 형성된 패턴을 금속 함유층(152A, 152B, 152C)으로 채움에 의해, 복수의 디바이스 구조(102)가 형성되었다. 금속 함유층(152A, 152B, 152C)의 에칭 도중에, 금속 함유층(152A, 152B, 152C)의 노출된 금속 표면(155A, 155B, 155C)은 아래쪽으로 차츰 이동하여, 금속 함유층(152A, 152B, 152C)에 리세스를 형성한다. 그러나, 노출된 금속 표면(155A, 155B, 155C)의 이동의 결과로, 금속 함유층(152A, 152B, 152C)의 에칭 도중의 유전체층(114)의 소모에 따른 부산물인 노출된 유전체층 표면(115)으로부터의 산소 발생으로 인하여, 비균일성이 발생된다. 노출된 유전체층 표면(115)으로부터 여러 노출된 금속 표면(155A, 155B, 155C)으로의 산소 확산 경로(116A, 116B, 116C)의 차이로 인해, 이 노출된 금속 표면의 산화 속도의 차이가 생기고, 따라서 금속 함유층(152A, 152B, 152C)의 에칭의 패턴 의존성이 초래된다.
따라서, 일 실시예에 따라, 기판 상의 금속 함유층을 에칭하는 방법을 도 2에 나타내었다. 도 2에 나타낸 것과 같이, 이 방법은 금속 함유층이 형성된 기판을 플라즈마 처리 시스템에 배치하는 단계(210)로부터 시작하는 순서도(200)로 이루어진다. 금속 함유층은 금속, 금속 합금, 금속 질화물 또는 금속 산화물이나 그 조합으로 이루어질 수 있다. 또한, 금속 함유층은 알루미늄, 알루미늄 합금 또는 알루미늄 산화물(AlOx)이나 그 조합과 같은 알루미늄 함유층을 포함할 수 있다. 예를 들어, 금속 함유층은 알루미늄 산화물 표면층을 갖춘 벌크 알루미늄층을 포함할 수 있다. 덧붙여, 예를 들어, 금속 함유층은 반도체 디바이스로 사용될 수 있다. 또한, 예를 들어, 금속 함유층은 도 1a 및 도 1b에 나타낸 디바이스 구조(예를 들어, 디바이스 구조(100, 101))로 통합될 수 있다.
기판은 벌크 실리콘 기판, 단결정 실리콘(도핑 또는 미도핑) 기판, 반도체-온-절연체(SOI) 기판, 또는 예를 들어 III/V족 또는 II/VI족 화합물 반도체뿐만 아니라 Si, SiC, SiGe, SiGeC, Ge, GaAs, InAs, InP 또는 그 조합을 함유하는 여하한 다른 반도체 기판을 포함할 수 있다. 기판은 예를 들어 200 mm(밀리미터) 기판이나 300 mm 기판 또는 그보다 큰 기판 등 어떠한 사이즈도 될 수 있다.
220에서, 할로겐 원소를 함유한 공정 조성물로부터 플라즈마가 형성된다. 공정 조성물은 할로겐 원소와 함께 C, H, F, Cl 및 Br의 군으로부터 선택된 하나 이상의 원소를 포함할 수 있다. 또한, 공정 조성물은 Br과 함께 C, H, F 및 Cl의 군으로부터 선택된 하나 이상의 원소를 포함할 수도 있다.
공정 조성물은 불소 함유 가스, 염소 함유 가스, 브롬 함유 가스, 할로겐화물 가스, 또는 할로메탄 가스나 그 중 둘 이상의 조합을 함유할 수 있다. 예를 들어, 공정 조성물은 F2, Cl2, Br2, BCl3, NF3, 또는 SF6를 포함할 수 있다. 또한, 예를 들어, 공정 조성물은 HF, HCl, HBr, 또는 HI와 같은 할로겐화물을 포함할 수 있다. 나아가, 예를 들어, 공정 조성물은 단일치환 할로메탄(예를 들어, CH3F), 이치환 할로메탄(예를 들어, CH2F2), 삼치환 할로메탄(예를 들어, CHF3), 또는 사치환 할로메탄(예를 들어, CF4)과 같은 할로메탄을 포함할 수 있다.
공정 조성물은 C와 함께 H, F, Cl, Br 및 I의 군으로부터 선택된 하나 이상의 원소를 함유하는 첨가 가스를 추가로 포함할 수 있다. 예를 들어, 공정 조성물은 탄화수소(예를 들어, CxHy, x와 y는 1이상의 수)를 추가로 포함할 수 있다. 그 대신에, 예를 들어, 공정 조성물은 탄화플루오르(예를 들어, CxFy, x와 y는 1이상의 수)를 추가로 포함할 수도 있다. 또한 그 대신에, 예를 들어, 공정 조성물은 화학식이 CxHyRz(R은 할로겐 원소, x와 y는 1이상의 수, z는 0이상의 수)인 첨가 가스를 추가로 포함할 수도 있다.
일 실시예에서, 알루미늄 함유층을 에칭할 때에, 공정 조성물은 HBr을 포함할 수도 있다.
다른 실시예에서, 알루미늄 함유층을 에칭할 때에, 공정 조성물은 C와 함께 H, F, Cl, Br 및 I의 군으로부터 선택된 하나 이상의 원소를 포함하는 첨가 가스 및 HBr을 포함할 수도 있다.
또다른 실시예에서, 알루미늄 함유층을 에칭할 때에, 공정 조성물은 화학식이 CxHyFz(x, y, z는 1이상의 수)인 첨가 가스 및 HBr을 포함할 수도 있다.
또다른 실시예에서, 알루미늄 함유층을 에칭할 때에, 공정 조성물은 화학식이 CH3F인 첨가 가스 및 HBr을 포함할 수도 있다.
230에서, 기판이 플라즈마에 노출되어 금속 함유층을 에칭한다. 금속 함유층을 에칭하는 방법은 에칭 공정 레시피의 준비를 포함할 수도 있다. 에칭 공정 레시피는 하나 이상의 공정 파라미터로 정의된 하나 이상의 공정 조건을 포함할 수도 있다. 이 하나 이상의 공정 조건은, 다음과 같은 하나 이상의 공정 파라미터를 설정함에 의해 확립될 수도 있다: 공정 조성물의 유량을 설정; 플라즈마 처리 시스템의 압력을 설정; 기판을 지지하고 전자적 바이어스를 가하는 기판 홀더 내부의 하부전극에 가해지는 제1 RF 신호를 위한 제1 RF 전력 레벨을 설정; 기판 상의 소스 안테나 또는 하부전극과 마주보는 전극에 가해지는 제2 RF 신호를 위한 제2 RF(또는 마이크로파) 전력 레벨을 설정; 플라즈마 처리 시스템의 온도 조건을 설정; 기판 또는 기판 홀더의 온도 조건을 설정; 에칭 시간을 설정; 및/또는 오버에칭 시간을 설정. 에칭 방법의 도중에, 상기 공정 파라미터 중의 어느 하나가 변경될 수도 있다.
일 실시예에서, 에칭 방법은 다음을 포함하는 공정 파라미터 공간(parameter space)으로 이루어질 수도 있다: 최대 약 1000 mtorr(millitorr) 범위의 연소실 압력(예를 들어, 최대 200 mtorr, 또는 약 30 내지 약 100 mtorr 범위), 최대 약 2000 sccm(standard cubic centimeters per minute) 범위의 할로겐 함유 가스 유량(예를 들어, 최대 약 1000 sccm, 또는 약 1 sccm 내지 약 200 sccm), 최대 약 2000 sccm 범위의 선택적인 첨가 가스 유량(예를 들어, 최대 약 1000 sccm, 또는 최대 약 100 sccm, 또는 최대 약 10 sccm, 또는 약 1 sccm 내지 약 10 sccm 범위), 최대 약 2000 sccm 범위의 선택적인 불활성 가스(예를 들어, He 또는 Ar) 유량(예를 들어, 최대 약 1000 sccm, 또는 최대 약 500 sccm), 최대 약 3000 W(watts) 범위의 SWP(표면파 플라즈마) 소스(예를 들어, 도 11의 요소 1130) 전력(예를 들어, 최대 약 2500 W, 또는 약 1500 W 내지 약 2500 W 범위) 및 기판을 전기적으로 바이어싱하는 최대 약 1000 W 범위의 하부 전극(예를 들어, 도 11의 요소 522) RF 전력 레벨(예를 들어, 최대 약 500 W, 또는 최대 약 200 W, 또는 최대 약 100 W). 그리고, SWP 소스는 마이크로파 주파수, 예를 들어 2.48 GHz에서 작동할 수 있다. 또한, 하부전극 바이어스 주파수는 약 0.1 MHz 내지 약 100 MHz 범위, 예를 들어 약 2 MHz 또는 13.56 MHz일 수 있다.
240에서, 기판은 산소 함유 환경에 추가적으로 노출되어, 금속 함유층의 노출된 표면을 산화시키고 금속 함유층의 에칭률을 조절할 수도 있다. 예를 들어, 알루미늄 함유층을 에칭할 때, HBr기반 공정 조성물을 이용한 알루미늄 산화물의 에칭률은 알루미늄의 에칭률보다 작다. 산소 첨가에 의하여, 금속 함유층의 에칭률은 분당 약 100 옹스트롬 이하, 또는 분당 약 50 옹스트롬 이하로 떨어질 수도 있다. 따라서, 제거되는 금속 함유층의 양(예를 들어, 깊이 또는 두께)은 비교적 더 조절 가능해질 수도 있다. 또한, 산소 첨가에 의하여, 도 3c의 위에 나타낸 패턴 결함이 감소되거나 심지어는 사라질 수도 있다. 이로부터 발명자들은, 에칭되고 있는 금속 함유층에 인접한 산화 표면으로부터의 패턴 의존적인 산소 발생을, 산소 첨가에 의하여 줄이고 없앨 수 있으리라 추측하였다.
산소 함유 환경은 단원자 산소, 2원자 산소, 3원자 산소, 준안정 산소, 여기 산소, 이온화된 산소, 산소 함유 라디칼 등을 함유할 수도 있다. 산소 함유 환경은 O, O2, O3, CO, CO2, NO, N2O, 또는 NO2나 그 중 둘 이상의 조합을 함유할 수도 있다. 산소 함유 환경은 산소 함유 플라즈마를 포함할 수도 있다. 산소 함유 플라즈마의 생성은 기판에 대하여 인-시츄(in-situ) 또는 엑스-시츄(ex-situ) 위치에서 행할 수도 있다.
도 3a에 나타낸 것과 같이, 기판을 플라즈마(예를 들어, 할로겐 함유 플라즈마)에 노출시키는 단계를, 기판을 산소 함유 환경에 노출시키는 단계와 동시에 행할 수도 있다. 예를 들어, 플라즈마 형성을 위한 공정 조성물이 산소 함유 가스를 포함할 수도 있다.
도 3b에 나타낸 것과 같이, 기판을 플라즈마(예를 들어, 할로겐 함유 플라즈마)에 노출시키는 단계를, 기판을 산소 함유 환경에 노출시키는 단계와 순차적으로 행할 수도 있다. 예를 들어, 기판을 산소 함유 환경에 노출시키고, 그 후에, 기판을 플라즈마에 노출시킬 수도 있다. 또한, 도 3b에 나타낸 것과 같이, 기판을 플라즈마에 노출시키는 단계를, 기판을 산소 함유 환경에 노출시키는 단계와 순차적으로 및 교대로 1회 이상의 노출 사이클 동안 행할 수도 있다. 예를 들어, 반복 가능한 노출 사이클을, 기판을 산소 함유 환경에 노출시키고, 그 후에, 기판을 플라즈마에 노출시키는 것으로 정의할 수도 있다.
도 3c에 나타낸 것과 같이, 플라즈마 처리 시스템 내에서, 기판을 플라즈마(예를 들어, 할로겐 함유 플라즈마)에 노출시키는 단계와 기판을 산소 함유 환경에 노출시키는 단계 모두를 수행할 때, 상기 방법은 기판을 플라즈마에 노출시키는 단계와 기판을 산소 함유 환경에 노출시키는 단계 사이에 적어도 하나의 플라즈마 처리 시스템 퍼징(purging) 단계를 추가로 포함할 수도 있다.
다른 실시예에 따른, 기판 상의 금속 함유층을 에칭하는 방법을 도 4에 나타내었다. 도 4에 나타낸 것과 같이, 이 방법은 알루미늄 함유층과 Si 및 O 함유층이 둘 다 형성된 기판을 플라즈마 처리 시스템에 배치하는 단계(410)로부터 시작하는 순서도(400)로 이루어진다. 예를 들어, 알루미늄 함유층은 알루미늄 산화물 표면층을 갖춘 벌크 알루미늄층을 포함할 수 있으며, Si 및 O 함유층은 실리콘 산화물을 포함할 수 있다.
에칭 방법은, 420에서, 화학식이 CxHyRz (R은 할로겐 원소, x 및 y는 1 이상의 수, z는 0 이상의 수)인 첨가 가스 및 HBr을 함유한 공정 조성물로부터 제1 플라즈마를 형성하는 단계를 포함할 수 있고, 430에서, 기판을 제1 플라즈마에 노출시켜 알루미늄 산화물 표면층을 제거하는 단계를 포함할 수 있다. 그 후에, 이 방법은 440에서 HBr을 함유한 공정 조성물로부터 제2 플라즈마를 형성하는 단계 및 450에서 기판을 제2 플라즈마에 노출시켜 벌크 알루미늄을 에칭하는 단계를 더 포함할 수 있다.
또다른 실시예에 따르면, 알루미늄 함유층과 같은 금속 함유층과 Si 및 O 함유층과 같은 기판 상의 다른 층(예를 들어, 도 1b 및 도 1c의 산화물층(114)) 간의 목표 에칭 선택도를 달성하는 것이 바람직할 때, 전술한 공정 파라미터 중 적어도 하나는 변경될 수 있다. 예를 들어, 알루미늄 산화물과 실리콘 산화물 간의 에칭 선택도(즉, 실리콘 산화물의 에칭률에 대한 알루미늄 산화물의 에칭률의 비)는 기판의 전기적 바이어스를 위한 제1 RF 전력 레벨을 감소시키거나 종료시킴에 의해(즉, 제로-바이어스 조건) 증가될 수 있다.
전술한 금속 함유층의 에칭 방법 중 하나 이상은 도 11에 나타낸 것과 같은 플라즈마 처리 시스템을 이용하여 수행될 수 있다. 그러나, 전술한 방법들이 이러한 예시의 범위에 한정되는 것은 아니다. 전술한 다양한 실시예에 따른 기판 상의 금속 함유층 에칭 방법은 도 5 내지 도 11에 나타낸, 이하에서 설명하는 플라즈마 처리 시스템 중 어느 것 안에서든 수행될 수 있다.
일 실시예에 따른, 플라즈마 처리 챔버(510), 처리될 기판(525)이 고정된 기판 홀더(520), 및 진공 펌핑 시스템(550)을 포함하는, 전술한 공정 조건을 수행하도록 설정된 플라즈마 처리 시스템(500)을 도 5에 나타내었다. 기판(525)은 반도체 기판, 웨이퍼, 평판 디스플레이, 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(510)는 기판(525) 표면 근처의 플라즈마 처리 영역(545)에서의 플라즈마 생성을 촉진하도록 설정될 수 있다. 가스 분배 시스템(540)을 통해서 이온화 가능 가스 또는 공정 가스 혼합물이 공급된다. 주어진 공정 가스 유량에 대해서, 진공 펌핑 시스템(550)을 이용하여 공정 압력이 조절된다. 플라즈마는 미리 결정된 재료 공정에 특유한 재료를 만들고, 및/또는 기판(525)의 노출된 표면으로부터의 재료 제거를 돕는데 사용될 수 있다. 플라즈마 처리 시스템(500)은 200 mm 기판, 300 mm 기판, 또는 그보다 큰 기판 등 필요한 어떤 크기의 기판이라도 처리하도록 설정될 수 있다.
기판(525)은 기계적 클램핑(clamping) 시스템 또는 전기적 클램핑 시스템(예를 들어, 정전기적 클램핑 시스템)과 같은 클램핑 시스템(528)을 통해 기판 홀더(520)에 고정될 수 있다. 또한, 기판 홀더(520)는 기판 홀더(520) 및 기판(525)의 온도를 조절 및/또는 제어하도록 설정된 가열 시스템(도시되지 않음) 또는 냉각 시스템(도시되지 않음)을 포함할 수 있다. 이 가열 시스템 또는 냉각 시스템은, 냉각시에는 기판 홀더(520)로부터 열을 받고 열 교환 시스템(도시되지 않음)에 열을 전달하거나, 가열시에는 열 교환 시스템으로부터 기판 홀더(520)로 열을 전달하는 열전달 유체의 재순환 흐름으로 이루어질 수 있다. 다른 실시예에서는, 저항성 발열체나 열전 가열/냉각 소자와 같은 가열/냉각 요소가, 플라즈마 처리 챔버(510)의 챔버 벽이나 기판 홀더(520) 뿐만 아니라 플라즈마 처리 시스템(500)내의 어떠한 구성 요소의 내부에라도 포함될 수 있다.
또한, 기판(525)과 기판 홀더(520) 사이의 가스-간극(gas-gap) 열전도도를 향상시키기 위해서, 열전달 가스는 후면 가스 공급 시스템(526)을 통해서 기판(525)의 후면으로 이송될 수 있다. 이러한 시스템은 고온이나 저온에서 기판의 온도 제어가 필요한 때에 이용될 수 있다. 예를 들어, 상기 후면 가스 공급 시스템은, 헬륨 가스-간극 압력이 기판(525)의 중앙부와 가장자리 사이에서 독립적으로 변화될 수 있는 2구역 가스 분배 시스템으로 이루어질 수 있다.
도 5에 나타낸 실시예에서, 기판 홀더(520)는 전극(522)으로 이루어질 수 있고, 이 전극(522)을 통해 RF 전력이 플라즈마 처리 영역(545) 내의 처리 플라즈마에 커플링된다. 예를 들어, 기판 홀더(520)는 RF 발전기(530)로부터 선택적인 임피던스 매치 네트워크(532)를 통과하여 기판 홀더(520)로의 RF 전력의 전송에 의한 RF 전압으로 전기적으로 바이어스될 수 있다. 이 RF 전기적 바이어스는 전자를 가열하여 플라즈마를 생성하고 유지하는 데 이용된다. 이러한 설정에서, 상기 시스템은 챔버와 상부 가스 주입 전극이 접지면으로 기능하는 반응성 이온 에칭(RIE) 리액터로서 작동할 수 있다. RF 바이어스의 전형적인 주파수는 0.1 MHz 내지 100 MHz의 범위일 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자에게 잘 알려져 있다.
또한, 펄스화(pulsed) 바이어스 신호 컨트롤러(531)를 이용하여, 전극(522)의 RF 전압으로의 전기적 바이어스를 펄스화 할 수 있다. RF 발전기(530)로부터의 RF 전력 출력은, 예를 들어 오프 상태(off-state)와 온 상태(on-state) 사이에서 펄스화 될 수 있다.
RF 전력이 기판 홀더 전극에 다양한 주파수로 번갈아서 인가된다. 또한, 임피던스 매치 네트워크(532)가 반사 전력을 감소시킴에 따라, 플라즈마 처리 챔버(510)내의 플라즈마로의 RF 전력 전송이 향상될 수 있다. 매치 네트워크 토폴로지(예를 들어, L-형, π-형, T-형 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있다.
가스 분배 시스템(540)은 공정 가스 혼합물을 공급하기 위한 샤워헤드(showerhead) 디자인으로 이루어질 수 있다. 그 대신에, 가스 분배 시스템(540)은 공정 가스 혼합물 공급 및 기판(525) 위쪽의 공정 가스 혼합물 분배의 조절을 위한 다중 영역 샤워헤드 디자인으로 이루어질 수도 있다. 예를 들어, 다중 영역 샤워헤드 디자인은, 기판(525) 위쪽의 실질적인 중앙부로의 공정 가스 유량 또는 조성물의 양에 비례하여, 기판(525) 위쪽의 실질적인 주변부로의 공정 가스 유량 또는 조성물을 조절하도록 설정될 수 있다.
진공 펌핑 시스템(550)은 초당 최대 약 5000리터(또는 그 이상)의 펌핑속도를 낼 수 있는 터보-분자 진공 펌프(TMP) 및 챔버 압력 쓰로틀링을 위한 게이트 밸브를 포함한다. 건식 플라즈마 에칭에 사용되는 통상의 플라즈마 처리 장치에는, 초당 1000 내지 3000리터의 TMP가 채용될 수 있다. TMP는 저압 처리에, 전형적으로는 약 50 mTorr 미만의 경우에 유용하다. 고압처리(즉, 약 100 mTorr 초과)를 위해서는, 미케니컬 부스터 펌프(mechanical booster pump) 및 건식 러핑 펌프(dry roughing pump)가 사용될 수 있다. 또한, 챔버 압력 모니터링을 위한 장치(도시되지 않음)가 플라즈마 처리 챔버(510)에 연결될 수 있다.
컨트롤러(555)는, 마이크로프로세서, 메모리, 및 디지털 입출력 포트로 이루어지며, 이 디지털 입출력 포트는 플라즈마 처리 시스템(500)으로부터의 모니터 출력장치뿐만 아니라, 플라즈마 처리 시스템(500)에 대한 입력을 활성화하고 통신하는 데 충분한 조정 전압을 생성할 수 있는 것이다. 또한, 컨트롤러(555)는 기판 가열/냉각 시스템(도시되지 않음), 후면 가스 공급 시스템(526), 및/또는 정전기적 클램핑 시스템(528) 뿐만 아니라, RF 발전기(530), 펄스화 바이어스 신호 컨트롤러(531), 임피던스 매치 네트워크(532), 가스 분배 시스템(540), 진공 펌핑 시스템(550)과 연결되어 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은, 기판(25)상의 플라즈마 에칭 공정과 같은 플라즈마 공정(plasma assisted process)을 수행하기 위한 공정 레시피에 따라서, 전술한 플라즈마 처리 시스템(500)의 요소들에 대한 입력을 활성화하는 데 이용될 수 있다.
컨트롤러(555)는 플라즈마 처리 시스템(500)의 근거리에 배치될 수 있고, 또는 플라즈마 처리 시스템(500)의 원거리에 배치될 수도 있다. 예를 들어, 컨트롤러(555)는 플라즈마 처리 시스템(500)과 직접 연결, 인트라넷, 및/또는 인터넷을 통하여 데이터를 교환할 수 있다. 컨트롤러(555)는 예를 들어, 고객사 사이트(즉, 디바이스 메이커 등)의 인트라넷에 연결될 수 있고, 또는 벤더 사이트(즉, 장비 생산업체 등)의 인트라넷에 연결될 수도 있다. 그 대신에 또는 추가적으로, 컨트롤러(555)는 인터넷에 연결될 수 있다. 또한, 또다른 컴퓨터(즉, 컨트롤러, 서버 등)가 컨트롤러(555)에 직접 연결, 인트라넷, 및/또는 인터넷을 통하여 접속하여 데이터를 교환할 수도 있다.
도 6에 나타낸 실시예에서, 플라즈마 처리 시스템(600)은 도 5의 실시예와 유사할 수 있으며, 도 5를 참조로 설명된 요소들에 추가하여, 잠재적으로 플라즈마 밀도를 높이고 및/또는 플라즈마 처리 균일성을 향상시키기 위해, 고정되거나 기계적 또는 전기적으로 회전하는 자기장 시스템(660)을 추가로 포함할 수 있다. 또한, 컨트롤러(555)는 회전 속도 및 전계강도를 조절하기 위해, 자기장 시스템(660)에 연결될 수 있다. 회전 자기장의 설계와 구현은 당업자에게 잘 알려져 있다.
도 7에 나타낸 실시예에서, 플라즈마 처리 시스템(700)은 도 5 또는 도 6의 실시예와 유사할 수 있으며, RF 전력이 RF 발전기(772)로부터 선택적인 임피던스 매치 네트워크(774)를 통해 커플링될 수 있는 상부 전극(770)을 추가로 포함할 수 있다. 상부 전극에 대한 RF 전력의 적용을 위한 주파수는 약 0.1 MHz에서 약 200 MHz의 범위일 수 있다. 또한, 하부 전극에 대한 전력의 적용을 위한 주파수는 약 0.1 MHz에서 약 100 MHz의 범위일 수 있다. 더하여, 컨트롤러(555)는, 상부 전극(770)에 대한 RF 전력의 적용을 제어하기 위해, RF 발전기(772) 및 임피던스 매치 네트워크(774)와 연결된다. 상부 전극의 설계와 구현은 당업자에게 잘 알려져 있다. 상부 전극(770) 및 가스 분배 시스템(540)은, 도시된 것과 같이, 동일한 챔버 조립체 내부에 설계될 수 있다. 그 대신에, 상부 전극(770)은, 기판(525) 위쪽의 플라즈마와 커플링된 RF 전력 분배의 조절을 위해서, 다중 구역(multi-zone) 전극 디자인으로 구성될 수도 있다. 예를 들어, 상부 전극(770)은 중앙 전극과 가장자리 전극으로 분할 구성될 수도 있다.
도 8에 나타낸 실시예에서, 플라즈마 처리 시스템(800)은 도 7의 실시예와 유사할 수 있으며, 기판(525)과 마주하는 상부 전극(770)에 커플링된 직류(DC) 전원(890)을 추가로 포함할 수 있다. 상부 전극(770)은 전극판으로 이루어질 수도 있다. 전극판은 실리콘 함유 전극판으로 이루어질 수도 있다. 또한, 전극판은 도핑된 실리콘 전극판으로 이루어질 수도 있다. DC 전원(890)은 다양한 DC 전원을 포함할 수 있다. 덧붙여, DC 전원(890)은 양극(bipolar) DC 전원을 포함할 수 있다. DC 전원(890)은, DC 전원(890)의 극성, 전류, 전압, 또는 on/off 상태의 모니터링, 조절, 또는 제어 중 적어도 한 가지를 수행할 수 있도록 구성된 시스템을 추가로 포함할 수 있다. 플라즈마가 형성되고 나면, DC 전원(890)이 탄도 전자빔의 형성을 촉진한다. DC 전원(890)으로부터 RF 전력을 디커플링하기 위해, 전기 필터(도시되지 않음)가 사용될 수도 있다.
예를 들어, DC 전원(890)에 의해 상부 전극(770)에 인가되는 DC 전압은 약 -2000 볼트(V)로부터 약 1000 V까지의 범위일 수 있다. 바람직하게는, DC 전압의 절대값은 약 100 V 이상의 값을 갖고, 더욱 바람직하게는, DC 전압의 절대값은 약 500 V 이상의 값을 갖는다. 또한, DC 전압은 음의 극성을 갖는 것이 바람직하다. 더하여, DC 전압은 상부 전극(770)의 표면 상에 생성된 자기 바이어스 전압보다 큰 절대값을 갖는 음전압인 것이 바람직하다. 기판 홀더(520)쪽을 향한 상부 전극(770)의 표면은 실리콘 함유 소재로 이루어질 수도 있다.
도 9에 나타낸 실시예에서, 플라즈마 처리 시스템(900)은 도 5 및 도 6의 실시예와 유사할 수 있으며, RF 발전기(982)에 의한 RF 전력이 선택적인 임피던스 매치 네트워크(984)를 통해 커플링된 유도 코일(980)을 추가로 포함할 수 있다. RF 전력은 유도 코일(980)으로부터 유전체 창(도시되지 않음)을 통해 플라즈마 처리 영역(545)에 유도결합된다. 유도 코일(980)에 대한 RF 전력의 적용을 위한 주파수는 약 10 MHz에서 약 100 MHz의 범위일 수 있다. 유사하게, 척(chuck) 전극에 대한 전력의 적용을 위한 주파수는 약 0.1 MHz에서 약 100 MHz의 범위일 수 있다. 또한, 플라즈마 처리 영역(545) 내의 플라즈마와 유도 코일(980) 간의 용량 결합을 감소시키기 위해, 슬롯화된 패러데이 실드(도시되지 않음)가 채용될 수 있다. 더하여, 유도 코일(980)에 대한 전력 적용을 제어하기 위하여, 컨트롤러(555)가 RF 발전기(982) 및 임피던스 매치 네트워크(984)에 연결될 수 있다.
도 10에 나타낸 것과 같은 또다른 실시예에서, 플라즈마 처리 시스템(1000)은 도 9의 실시예와 유사할 수 있으며, 트랜스포머 결합 플라즈마(TCP) 반응로에서와 같이, 위쪽에서부터 플라즈마 처리 영역(545)과 통신하는 “나선형” 코일 또는 “팬케이크형” 코일인 유도 코일(1080)을 추가로 포함할 수 있다. 유도결합 플라즈마(ICP) 소스 또는 트랜스포머 결합 플라즈마(TCP) 소스의 설계와 구현은 당업자에게 잘 알려져 있다.
대안으로, 플라즈마는 전자 사이클로트론 공명(ECR)을 이용하여 형성될 수도 있다. 또다른 실시예에서는, 플라즈마는 헬리콘파의 발생(launching)으로부터 형성된다. 또다른 실시예에서는, 플라즈마는 전파되는 표면파로부터 형성된다. 전술한 각 플라즈마 소스는 당업자에게 잘 알려져 있다.
도 11에 나타낸 것과 같은 실시예에서, 플라즈마 처리 시스템(1100)은 도 5의 실시예와 유사할 수 있으며, 표면파 플라즈마(SWP) 소스(1130)를 추가로 포함할 수 있다. SWP 소스(1130)는, 전력 커플링 시스템(1190)을 통해서 마이크로파 전력이 커플링되는, RLSA(radial line slot antenna)와 같은 슬롯 안테나로 이루어질 수 있다.
이제, 일 실시예에 따른 SWP 소스(1230)가 도식적으로 표현된 도 12를 참조한다. SWP 소스(1230)는, 전자기(EM)파 런처(1232)에 인접한 플라즈마의 플라즈마 표면(1260) 상에 표면파를 발생시킴으로써 EM 에너지를 소정의 EM파 모드로 커플링시키도록 설정된 EM파 런처(1232)를 포함한다. 또한, SWP 소스(1230)는, EM파 런처(1232)에 커플링되고 플라즈마 형성을 위해 EM파 런처(1232)에 EM 에너지를 공급하도록 설정된 전력 커플링 시스템(1290)을 포함한다..
EM파 런처(1232)는 플라즈마 처리 영역(도 11의 545) 내로 마이크로파를 방사하도록 설정된 마이크로파 런처를 포함한다. EM파 런처(1232)는, 마이크로파 에너지가 이동하는 동축 피드(coaxial feed, 1238)를 통하여 전력 커플링 시스템(1290)에 연결된다. 전력 커플링 시스템(1290)은 2.45 GHz 마이크로파 전력 소스와 같은 마이크로파 소스(1292)를 포함한다. 마이크로파 소스(1292)에 의해 발생된 마이크로파 에너지는, 마이크로파 소스(1292)로 반사된 마이크로파 에너지를 흡수하기 위하여, 도파관(waveguide, 1294)을 통하여 아이솔레이터(1296)로 유도된다. 그 후에, 마이크로파 에너지는 동축 컨버터(1298)를 통하여 동축 TEM(transverse electromagnetic) 모드로 전환된다.
임피던스 매칭 및 향상된 전력 전달을 위해서, 튜너가 채용될 수도 있다. 마이크로파 에너지는, 동축 피드(1238) 내에서의 TEM 모드로부터 TM(transverse magnetic) 모드로의 또다른 전환이 이루어지는 동축 피드(1238)를 통하여 EM파 런처(1232)에 커플링된다. 동축 피드(1238) 및 EM파 런처(1232)의 설계에 관한 추가적인 세부사항은 "에칭, 에싱(ashing) 및 필름 형성을 위한 플라즈마 처리 기구"라는 명칭의 미국 특허 제5,024,716호에서 찾을 수 있으며, 그 전체 내용이 참조로서 여기에 포함되어 있다.
이제, 도 13a 및 도 13b를 참조하면, 일 실시예에 따른 EM파 런처(1332)의 도식적인 단면도 및 저면도가 각각 제공된다. 도 13a에 나타낸 것과 같이, EM파 런처(1332)는, 내부 도체(1340), 외부 도체(1342), 및 공기층과 같은 절연체(1341)를 갖춘 동축 피드(1338), 및 내부 도체(1340)와 외부 도체(1342) 사이에 커플링된 복수의 슬롯(1348)을 갖춘 슬롯 안테나(1346)를 포함한다. 이 복수의 슬롯(1348)이, 슬롯 안테나(1346) 위쪽의 제1 영역으로부터, EM파 런처(1332) 상의 플라즈마 표면(1360)에 인접하여 플라즈마가 형성되는 슬롯 안테나(1346) 아래쪽의 제2 영역으로의 EM 에너지의 커플링을 가능하게 한다. EM파 런처(1332)는 서파 판(slow wave plate; 1344) 및 공진기 판(resonator plate; 1350)을 추가로 포함할 수도 있다.
슬롯(1348)의 수, 기하 형상, 크기 및 분포는 모두 플라즈마 처리 영역(도 11, 545) 내에 형성된 플라즈마의 공간적 균일성에 기여하는 인자들이다. 따라서, 플라즈마 처리 영역(도 11, 545)내의 플라즈마의 공간적 균일성을 제어하는 데 슬롯 안테나(1346)의 설계를 이용할 수도 있다.
도 13a에 나타낸 것과 같이, EM파 런처(1332)는 EM파 런처(1332)의 온도 제어를 위한 온도 제어 유체가 흐르도록 설정된 유체 채널(1356)을 포함할 수도 있다. 비록 도시되지는 않았으나, EM파 런처(1332)는 공정 가스를 플라즈마 표면(1360)을 통해서 플라즈마에 도입하도록 추가적으로 구성될 수도 있다. 비록 도시되지는 않았으나, 공정 가스를 처리 챔버로 도입하기 위하여, 도 11의 가스 분배 시스템(540)과 같은 가스 분배 시스템이 EM파 런처(1332) 및/또는 챔버 벽(1352)에 연결될 수도 있다.
도 13a를 참조하면, EM파 런처(1332)는, 상부 챔버 벽(1352)과 EM파 런처(1332)의 사이에 실링 장치(1354)를 사용한 진공 접합이 형성될 수 있는, 플라즈마 처리 시스템의 상부 챔버부에 커플링될 수도 있다. 실링 장치(1354)는 엘라스토머(elastomer) O-링을 포함할 수 있으며, 알려진 다른 실링 기구들이 사용될 수도 있다.
일반적으로, 동축 피드(1338)의 내부 도체(1340) 및 외부 도체(1342)는 금속과 같은 전도성 물질로 이루어지는 반면, 서파 판(1344) 및 공진기 판(1350)은 절연성 물질로 이루어진다. 후자에서, 서파 판(1344)과 공진기 판(1350)은 동일한 물질로 이루어지는 것이 바람직하나, 서로 다른 물질이 사용되어도 좋다. 서파 판(1344)의 제작을 위한 물질은, 전파되는 전자기(EM)파의 파장을 대응되는 자유공간 파장에 비해서 줄이도록 선택되고, 서파 판(1344)과 공진기 판(1350)의 치수는, EM 에너지를 플라즈마 처리 영역(도 11, 545) 내로 방사하는 데 효과적인 정상파의 형성을 보장하도록 선택된다.
서파 판(1344) 및 공진기 판(1350)은, 석영(이산화규소)과 같은 실리콘 함유 물질을 포함하는 절연성 물질, 또는 고유전율(하이-k) 물질로 제작될 수 있다. 예를 들어, 하이-k 물질은 4보다 큰 값의 유전율을 가질 수 있다. 특히, 플라즈마 처리 시스템이 에칭 공정 응용에 사용될 경우, 에칭 공정에의 적합성으로 인하여 석영이 주로 선택된다.
예를 들어, 하이-k 물질에는 진성 결정 실리콘(intrinsic crystal silicon), 알루미나 세라믹(alumina ceramic), 질화알루미늄 및 사파이어 등이 포함될 수 있다. 그러나, 다른 하이-k 물질이 사용될 수도 있다. 또한, 특유한 공정의 파라미터에 따라서, 특유한 하이-k 물질이 선택될 수도 있다. 예를 들어, 공진기 판(1350)이 진성 결정 실리콘으로 제작되는 경우, 플라즈마 주파수는 섭씨 45도의 온도에서 2.45 GHz를 초과한다. 따라서, 진성 결정 실리콘은 저온 공정(즉, 섭씨 45도 미만)에 적합하다. 더 높은 온도의 공정을 위해서는, 공진기 판(1350)은 알루미나(Al2O3) 또는 사파이어로 제작될 수 있다.
전술한 SWP 소스의 실용적 구현에 있어서, 플라즈마 균일성 및 플라즈마 안정성은 여전히 과제로 남을 수도 있다. 후자에서, 공진기 판-플라즈마 경계면, 즉 플라즈마 표면(1360)에서의 정상파는, 플라즈마 파라미터의 변화에 따라서 모드 변화가 쉽게 일어날 수도 있다.
도 13a 및 도 13b에 나타낸 것과 같이, EM파 런처(1332)는, 일 실시예에 따른 플라즈마 표면(1360)에 형성된 제1 리세스 배열(1362) 및 선택적으로 플라즈마 표면(1360)에 형성된 제2 리세스 배열(1364)과 함께 제작될 수도 있다.
제1 리세스 배열(1362)은 제1 복수의 리세스를 포함할 수도 있다. 제1 리세스 배열(1362)의 각 리세스는 플라즈마 표면(1360)에 형성된 특유의 굴곡 또는 홈을 포함할 수도 있다. 예를 들어, 제1 리세스 배열(1362)의 리세스는 원통형, 원뿔형, 원뿔대형(frusto-conical), 구형, 비구형, 직사각형, 피라미드형, 또는 어떠한 임의의 형태로도 구성될 수 있다. 제1 리세스 배열(1362)은 제1 사이즈(예를 들어, 폭 및/또는 깊이)로 특징지어지는 리세스를 포함할 수도 있다.
제2 리세스 배열(1364)은 복수의 리세스를 포함할 수도 있다. 제2 리세스 배열(1364)의 각 리세스는 플라즈마 표면(1360)에 형성된 특유의 굴곡 또는 홈을 포함할 수도 있다. 예를 들어, 제2 리세스 배열(1364)의 리세스는 원통형, 원뿔형, 원뿔대형, 구형, 비구형, 직사각형, 피라미드형, 또는 어떠한 임의의 형태로도 구성될 수 있다. 제2 리세스 배열(1364)은 제2 사이즈(예를 들어, 폭 및/또는 깊이)로 특징지어지는 리세스를 포함할 수도 있다. 제1 리세스 배열(1362)의 리세스의 제1 사이즈는, 제2 리세스 배열(1364)의 리세스의 제2 사이즈와 같아도 좋고 달라도 좋다. 예를 들어, 제2 사이즈는 제1 사이즈보다 작을 수도 있다.
도 13a 및 도 13b에 나타낸 것과 같이, 공진기 판(1350)은 판의 지름과 판의 두께를 지닌 유전체 판으로 이루어진다. 여기서, 공진기 판(1350) 상의 플라즈마 표면(1360)은, 제1 리세스 배열(1362) 및 제2 리세스 배열(1364)이 형성된 평탄한 표면(1366)으로 이루어진다. 또는, 공진기 판(1350)은 비평탄 기하 형상 또는 임의의 기하 형상으로 이루어진다. 여기서, 플라즈마 표면(1360)은 제1 리세스 배열 및 제2 리세스 배열이 형성된 비평탄 표면으로 이루어질 수도 있다(도시되지 않음). 예를 들어, 비평탄 표면은 오목하거나, 볼록하거나, 또는 그 조합일 수도 있다.
공진기 판(1350)에서의 EM 에너지의 전파는, EM 에너지의 주어진 주파수와 공진기 판(1350)의 유전율에 따른 유효 파장(λ)으로 특징지어질 수 있다. 판의 두께는 1/4 파장의 정수배(n λ/4, n은 0보다 큰 정수) 또는 1/2 파장의 정수배(m λ/2, m은 0보다 큰 정수)일 수 있다. 예를 들어, 판의 두께는 유효 파장의 약 1/2(λ/2)이거나, 유효 파장의 1/2보다 클 수 있다(> λ/2). 또는, 판의 두께는 유효 파장의 정수가 아닌 분수(즉, 파장의 1/2 또는 1/4의 정수배가 아님)일 수 있다. 또는, 판의 두께는 약 25mm 내지 약 45mm의 범위일 수 있다.
예를 들어, 제1 리세스 배열(1362)은, 그 각각이 제1 깊이 및 제1 직경으로 특징지어지는 제1 복수의 원기둥형 리세스를 포함할 수도 있다. 도 13b에 나타낸 것과 같이, 제1 리세스 배열(1362)은 플라즈마 표면(1360)의 바깥쪽 영역에 가깝게 위치한다.
제1 직경은 1/4 파장의 정수배(n λ/4, n은 0보다 큰 정수), 또는 1/2 파장의 정수배(m λ/2, m은 0보다 큰 정수), 또는 유효 파장의 정수가 아닌 분수일 수 있다. 또한, 판의 두께와 제1 깊이 간의 제1 차이는 1/4 파장의 정수배(n λ/4, n은 0보다 큰 정수), 또는 1/2 파장의 정수배(m λ/2, m은 0보다 큰 정수), 또는 유효 파장의 정수가 아닌 분수일 수 있다. 예를 들어, 제1 직경은 유효 파장의 약 1/2(λ/2)일 수 있고, 판의 두께와 제1 깊이 간의 제1 차이는 유효 파장의 약 1/2(λ/2) 또는 유효 파장의 약 1/4(λ/4)일 수 있다. 또한, 예를 들어, 판의 두께는 유효 파장의 약 1/2(λ/2)이거나, 유효 파장의 1/2보다 클 수 있다(> λ/2).
대안으로, 제1 직경은 약 25mm 내지 약 35mm의 범위일 수도 있으며, 판의 두께와 제1 깊이 간의 제1 차이는 약 10mm 내지 약 35mm의 범위일 수도 있다. 대안으로, 제1 직경은 약 30mm 내지 약 35mm의 범위일 수도 있으며, 제1 차이는 약 10mm 내지 약 20mm의 범위일 수도 있다. 대안으로, 제1 직경 및/또는 제1 깊이는 판의 두께의 일부일 수도 있다.
제1 리세스 배열(1362)에서는, 인접한 표면 사이의 완만한 표면 전이(smooth surface transitions)를 위해 챔퍼(chamfer), 라운드 및/또는 필레트(fillet), 즉 표면/모서리의 반경 또는 사면이 이용될 수도 있다. 원통형의 리세스에서는, 원통형의 측벽과 리세스의 바닥 사이의 모서리에 표면 반경이 배치될 수도 있다. 또한, 원통형의 리세스에서는, 원통형의 측벽과 플라즈마 표면(1360) 사이의 모서리에 표면 반경이 배치될 수도 있다. 예를 들어, 표면 반경은 약 1mm 내지 약 3mm의 범위일 수도 있다.
다른 예로서, 제2 리세스 배열(1364)은, 그 각각이 제2 깊이 및 제2 직경으로 특징지어지는 제2 복수의 원기둥형 리세스를 포함할 수도 있다. 도 13b에 나타낸 것과 같이, 제2 리세스 배열(1364)은 플라즈마 표면(1360)의 안쪽 영역에 가깝게 위치한다.
제2 직경은 1/4 파장의 정수배(n λ/4, n은 0보다 큰 정수), 또는 1/2 파장의 정수배(m λ/2, m은 0보다 큰 정수), 또는 유효 파장의 정수가 아닌 분수일 수 있다. 또한, 판의 두께와 제2 깊이 간의 제2 차이는 1/4 파장의 정수배(n λ/4, n은 0보다 큰 정수), 또는 1/2 파장의 정수배(m λ/2, m은 0보다 큰 정수), 또는 유효 파장의 정수가 아닌 분수일 수 있다. 예를 들어, 제2 직경은 유효 파장의 약 1/2(λ/2) 일 수 있고, 판의 두께와 제2 깊이 간의 제2 차이는 유효 파장의 약 1/2(λ/2) 또는 유효 파장의 약 1/4(λ/4)일 수 있다. 또한, 예를 들어, 판의 두께는 유효 파장의 약 1/2(λ/2)이거나, 유효 파장의 1/2보다 클 수 있다(> λ/2).
대안으로, 제2 직경은 약 25mm 내지 약 35mm의 범위일 수도 있으며, 판의 두께와 제2 깊이 간의 제2 차이는 약 10mm 내지 약 35mm의 범위일 수도 있다. 대안으로, 제2 직경은 약 30mm 내지 약 35mm의 범위일 수도 있으며, 제2 차이는 약 10mm 내지 약 20mm의 범위일 수도 있다. 대안으로, 제2 직경 및/또는 제2 깊이는 판의 두께의 일부일 수도 있다.
제2 리세스 배열(1364)에서는, 인접한 표면 사이의 완만한 표면 전이를 위해 챔퍼, 라운드 및/또는 필레트, 즉 표면/모서리의 반경 또는 사면이 이용될 수도 있다. 원통형의 리세스에서는, 원통형의 측벽과 리세스의 바닥 사이의 모서리에 표면 반경이 배치될 수도 있다. 또한, 원통형의 리세스에서는, 원통형의 측벽과 플라즈마 표면(1360) 사이의 모서리에 표면 반경이 배치될 수도 있다. 예를 들어, 표면 반경은 약 1mm 내지 약 3mm의 범위일 수도 있다.
도 13b를 참조하면, 도 13a에 도시된 EM파 런처(1332)의 저면도가 제공된다. 슬롯 안테나(1346) 내부의 복수의 슬롯(1348)이, 마치 공진기 판(1350)을 통해서 슬롯 안테나(1346)가 비쳐보이는 것처럼 도시되어 있다. 도 13b에 나타낸 것과 같이, 복수의 슬롯(1348)은, 각 쌍이 제2 슬롯과 이에 직교하는 방향의 제1 슬롯으로 이루어지도록, 한 쌍씩 배치될 수도 있다. 그러나, 복수의 슬롯(1348)에서의 슬롯의 방향은 임의적일 수도 있다. 예를 들어, 복수의 슬롯(1348)에서의 슬롯의 방향은, 플라즈마 균일성 및/또는 플라즈마 안정성을 위한 소정의 패턴에 따를 수도 있다.
제1 리세스 배열(1362)은, 복수의 슬롯(1348)의 제1 슬롯 배치와 실질적으로 정렬된다. 여기에서, 제1 리세스 배열(1362) 중의 적어도 하나의 리세스가, 복수의 슬롯(1348) 중의 하나 이상과 정렬되거나, 부분적으로 정렬되거나, 또는 정렬되지 않을 수도 있다. 제2 리세스 배열(1364)은, 복수의 슬롯(1348)의 제2 슬롯 배치와 부분적으로 정렬되거나, 또는 복수의 슬롯(1348)의 제2 슬롯 배치와 정렬되지 않는다. 도 13b에 나타낸 것과 같이, 제2 리세스 배열(1364)은, 복수의 슬롯(1348)의 제2 슬롯 배치와 정렬되지 않는다.
결과적으로, 제1 및 제2 리세스 배열(1362, 1364)의 배치 및 복수의 슬롯(1348) 중의 하나 이상과의 정렬은, 플라즈마 균일성 및/또는 플라즈마 안정성의 향상 및/또는 제어를 위해서 최적화될 수 있다. 플라즈마 표면(1360) 및 EM파 런처(1332)의 설계에 관한 추가적인 세부사항은 2009년 9월 8일 출원된, “안정적인 표면파 플라즈마 소스”라는 명칭의 미국 특허 공개 공보 2011/0057562에서 찾을 수 있으며, 그 전체 내용이 참조로서 여기에 포함되어 있다.
이제, 도 14를 참조하면, 다른 실시예에 따른 EM파 런처(1432)의 도식적인 단면도가 제공된다. 도 14에 나타낸 것과 같이, EM파 런처(1432)는 내부 도체(1440), 외부 도체(1442), 및 공기층과 같은 절연체(1441)를 갖춘 동축 피드(1438), 및 내부 도체(1440)와 외부 도체(1442) 사이에 커플링된 복수의 슬롯(1448)을 갖춘 슬롯 안테나(1446)를 포함한다. 이 복수의 슬롯(1448)이, 슬롯 안테나(1446) 위쪽의 제1 영역으로부터, EM파 런처(1432) 상의 플라즈마 표면(1460)에 인접하여 플라즈마가 형성되는 슬롯 안테나(1446) 아래쪽의 제2 영역으로의 EM 에너지의 커플링을 가능하게 한다. EM파 런처(1432)는 서파 판(1444) 및 공진기 판(1450)을 추가로 포함할 수도 있다.
슬롯(1448)의 수, 기하 형상, 크기 및 분포는 모두 플라즈마 처리 영역(도 11, 545) 내에 형성된 플라즈마의 공간적 균일성에 기여하는 인자들이다. 따라서, 플라즈마 처리 영역(도 11, 545)내의 플라즈마의 공간적 균일성을 제어하는 데 슬롯 안테나(1446)의 설계를 이용할 수도 있다.
도 14에 나타낸 것과 같이, EM파 런처(1432)는 EM파 런처(1432)의 온도 제어를 위한 온도 제어 유체가 흐르도록 설정된 유체 채널(1456)을 포함할 수도 있다. 비록 도시되지는 않았으나, 공정 가스를 처리 챔버로 도입하기 위하여, 도 11의 가스 분배 시스템(540)과 같은 가스 분배 시스템이 EM파 런처(1432) 및/또는 챔버 벽(1452)에 연결될 수도 있다.
계속해서 도 14를 참조하면, EM파 런처(1432)는, 상부 챔버 벽(1452)과 EM파 런처(1432)의 사이에 실링 장치(1454)를 사용한 진공 접합이 형성될 수 있는, 플라즈마 처리 시스템의 상부 챔버부에 커플링될 수도 있다. 실링 장치(1454)는 엘라스토머 O-링을 포함할 수 있으며, 알려진 다른 실링 기구들이 사용될 수도 있다.
일반적으로, 동축 피드(1438)의 내부 도체(1440) 및 외부 도체(1442)는 금속과 같은 전도성 물질로 이루어지는 반면, 서파 판(1444) 및 공진기 판(1450)은 절연성 물질로 이루어진다. 후자에서, 서파 판(1444)과 공진기 판(1450)은 동일한 물질로 이루어지는 것이 바람직하나, 서로 다른 물질이 사용되어도 좋다. 서파 판(1444)의 제작을 위한 물질은, 전파되는 전자기(EM)파의 파장을 대응되는 자유공간 파장에 비해서 줄이도록 선택되고, 서파 판(1444)과 공진기 판(1450)의 치수는, EM 에너지를 플라즈마 처리 영역(도 11, 545) 내로 방사하는 데 효과적인 정상파의 형성을 보장하도록 선택된다.
서파 판(1444) 및 공진기 판(1450)은, 석영(이산화규소)과 같은 실리콘 함유 물질을 포함하는 절연성 물질, 또는 고유전율(하이-k) 물질로 제작될 수 있다. 예를 들어, 하이-k 물질은 4보다 큰 값의 유전율을 가질 수 있다. 특히, 플라즈마 처리 시스템이 에칭 공정 용례에 사용될 경우, 에칭 공정에의 적합성으로 인하여 석영이 주로 선택된다.
예를 들어, 하이-k 물질에는 진성 결정 실리콘, 알루미나 세라믹, 질화알루미늄 및 사파이어 등이 포함될 수 있다. 그러나, 다른 하이-k 물질이 사용될 수도 있다. 또한, 특유한 공정의 파라미터에 따라서, 특유한 하이-k 물질이 선택될 수도 있다. 예를 들어, 공진기 판(1450)이 진성 결정 실리콘으로 제작되는 경우, 플라즈마 주파수는 섭씨 45도의 온도에서 2.45 GHz를 초과한다. 따라서, 진성 결정 실리콘은 저온 공정(즉, 섭씨 45도 미만)에 적합하다. 더 높은 온도의 공정을 위해서는, 공진기 판(1450)은 알루미나(Al2O3) 또는 사파이어로 제작될 수 있다.
전술한 SWP 소스의 실용적 구현에 있어서, 플라즈마 균일성 및 플라즈마 안정성은 여전히 과제로 남을 수도 있다. 후자에서, 공진기 판-플라즈마 경계면, 즉 플라즈마 표면(1460)에서의 정상파는, 플라즈마 파라미터의 변화에 따라서 모드 변화가 쉽게 일어날 수도 있다.
도 14에 나타낸 것과 같이, EM파 런처(1432)는, 일 실시예에 따른 플라즈마 표면(1460)에 형성된 제1 리세스 배열(1462) 및 선택적으로 플라즈마 표면(1460)에 형성된 제2 리세스 배열(1464)과 함께 제작될 수도 있다.
제1 리세스 배열(1462)은 제1 채널 리세스를 포함할 수도 있다. 예를 들어, 제1 리세스 배열(1462)의 제1 채널 리세스는 원뿔대형의 단면을 포함할 수도 있다. 한편, 다른 형태, 예를 들어 구형, 비구형, 직사각형, 피라미드형, 또는 어떠한 임의의 형태도 사용될 수 있다. 제1 리세스 배열(1462)은 제1 사이즈(예를 들어, 폭 및/또는 깊이)로 특징지어지는 채널 리세스를 포함할 수도 있다.
제2 리세스 배열(1464)은 제2 채널 리세스를 포함할 수도 있다. 예를 들어, 제2 리세스 배열(1464)의 제2 채널 리세스는 원뿔대형의 단면을 포함할 수도 있다. 한편, 다른 형태, 예를 들어 구형, 비구형, 직사각형, 피라미드형, 또는 어떠한 임의의 형태도 사용될 수 있다. 제2 리세스 배열(1464)은 제2 사이즈(예를 들어, 폭 및/또는 깊이)로 특징지어지는 채널 리세스를 포함할 수도 있다. 제1 리세스 배열(1462)의 제1 채널 리세스의 제1 사이즈는, 제2 리세스 배열(1464)의 제2 채널 리세스의 제2 사이즈와 같아도 좋고 달라도 좋다. 예를 들어, 제2 사이즈는 제1 사이즈보다 클 수도 있다.
도 14에 나타낸 것과 같이, 공진기 판(1450)은 판의 지름과 판의 두께를 지닌 유전체 판으로 이루어진다. 여기서, 공진기 판(1450) 상의 플라즈마 표면(1460)은, 제1 리세스 배열(1462) 및 제2 리세스 배열(1464)이 형성된 평탄한 표면(1466)으로 이루어진다. 또는, 공진기 판(1450)은 비평탄 기하 형상 또는 임의의 기하 형상으로 이루어진다. 여기서, 플라즈마 표면(1460)은 제1 리세스 배열 및 제2 리세스 배열이 형성된 비평탄 표면으로 이루어질 수도 있다(도시되지 않음). 예를 들어, 비평탄 표면은 오목하거나, 볼록하거나, 또는 그 조합일 수도 있다.
제1 및 제2 리세스 배열(1462, 1464)의 배치 및 복수의 슬롯(1448) 중의 하나 이상과의 정렬은, 플라즈마 균일성 및/또는 플라즈마 안정성의 향상 및/또는 제어를 위해서 최적화될 수 있다. 플라즈마 표면(1460) 및 EM파 런처(1432)의 설계에 관한 추가적인 세부사항은, 2006년 12월 19일 출원되고 미국 특허 공개 공보 2007/0113788A1으로 간행된 “플라즈마 처리 장치”라는 명칭의 미국 특허 출원 10/570631에서 찾을 수 있으며, 그 전체 내용이 참조로서 여기에 포함되어 있다.
비록 여기에는 본 발명의 특정한 실시예만이 기술되어 있으나, 당업자라면 본 발명의 이점과 신규한 교시로부터 실질적으로 벗어나지 않은 채, 상기 실시예에 대한 다양한 변경이 가능함을 쉽게 이해할 것이다. 따라서, 그러한 모든 변경 역시 본 발명의 범위 내로 의도되고 포함된 것이다.

Claims (22)

  1. 기판 상의 금속 함유층의 에칭 방법에 있어서,
    상부에 알루미늄 함유층이 형성된 기판을 플라즈마 처리 시스템 내에 배치하는 단계;
    할로겐 원소를 함유한 공정 조성물로부터 플라즈마를 형성하는 단계;
    상기 알루미늄 함유층을 에칭하기 위해 상기 기판을 상기 플라즈마에 노출시키는 단계; 및
    동시에 또는 순차적으로, 상기 알루미늄 함유층의 표면을 산화시키고 상기 알루미늄 함유층의 에칭률을 제어하기 위해 상기 기판을 산소 함유 환경에 노출시키는 단계
    를 포함하고,
    상기 플라즈마를 형성하는 단계는, 상기 플라즈마에 인접한 전자기(EM: electromagnetic)파 런처(launcher)의 플라즈마 표면 상에 표면파를 발생시킴으로써 마이크로파 주파수의 전자기(EM) 에너지를 원하는 EM파 모드로 상기 플라즈마에 커플링하는 단계를 포함하고,
    상기 EM파 런처는 슬롯 안테나를 포함하며, 상기 슬롯 안테나에는 상기 EM 에너지를 상기 슬롯 안테나 위쪽의 제1 영역으로부터 상기 슬롯 안테나 아래쪽의 제2 영역으로 커플링하도록 구성된 복수의 슬롯이 상기 슬롯 안테나를 관통하여 형성되어 있는 것인 기판 상의 금속 함유층의 에칭 방법.
  2. 제1항에 있어서, 상기 알루미늄 함유층은 알루미늄 또는 산화 알루미늄(AlOx)인 것인 기판 상의 금속 함유층의 에칭 방법.
  3. 제1항에 있어서, 상기 공정 조성물은 불소 함유 가스, 염소 함유 가스, 브롬 함유 가스, 수소 할로겐화물 가스, 또는 할로메탄 가스 또는 이들의 2 이상의 임의의 조합을 포함하는 것인 기판 상의 금속 함유층의 에칭 방법.
  4. 제1항에 있어서, 상기 공정 조성물은 C, H, F 및 Cl로 구성되는 군에서 선택된 하나 이상의 원소와 Br을 포함하는 것인 기판 상의 금속 함유층의 에칭 방법.
  5. 제1항에 있어서, 상기 공정 조성물은 H, F, Cl, Br 및 I로 구성되는 군에서 선택된 하나 이상의 원소와 C를 포함하는 것인 기판 상의 금속 함유층의 에칭 방법.
  6. 제1항에 있어서, 상기 공정 조성물은 화학식이 CxHyRz(R은 할로겐 원소, x와 y는 1 이상의 수, z는 0 이상의 수)인 첨가 가스를 더 포함하는 것인 기판 상의 금속 함유층의 에칭 방법.
  7. 제1항에 있어서, 상기 공정 조성물은 HBr 및 화학식이 CxHyFz(x, y 및 z 는 1 이상의 수)인 첨가 가스를 포함하는 것인 기판 상의 금속 함유층의 에칭 방법.
  8. 제1항에 있어서, 상기 공정 조성물은 HBr, CH3F 및 선택적으로 Ar을 포함하는 것인 기판 상의 금속 함유층의 에칭 방법.
  9. 제1항에 있어서, 상기 산소 함유 환경은 O, O2, O3, CO, CO2, NO, N2O, 또는 NO2 또는 이들의 2 이상의 임의의 조합을 포함하는 것인 기판 상의 금속 함유층의 에칭 방법.
  10. 제1항에 있어서, 상기 산소 함유 환경은 산소 함유 플라즈마를 포함하는 것인 기판 상의 금속 함유층의 에칭 방법.
  11. 제1항에 있어서, 상기 기판을 상기 플라즈마에 노출시키는 단계와, 상기 기판을 상기 산소 함유 환경에 노출시키는 단계는 동시에 수행되는 것인 기판 상의 금속 함유층의 에칭 방법.
  12. 제1항에 있어서, 상기 기판을 상기 플라즈마에 노출시키는 단계와, 상기 기판을 상기 산소 함유 환경에 노출시키는 단계는 순차적으로 수행되는 것인 기판 상의 금속 함유층의 에칭 방법.
  13. 제12항에 있어서, 상기 순차적 수행은, 상기 기판을 상기 산소 함유 환경에 노출시키는 단계 및 그 후에 상기 기판을 상기 플라즈마에 노출시키는 단계를 포함하는 것인 기판 상의 금속 함유층의 에칭 방법.
  14. 제13항에 있어서, 상기 기판을 상기 플라즈마에 노출시키는 단계와, 상기 기판을 상기 산소 함유 환경에 노출시키는 단계를, 1회 이상의 노출 사이클로 교대로 수행하는 단계를 더 포함하는 기판 상의 금속 함유층의 에칭 방법.
  15. 제14항에 있어서, 상기 기판을 상기 플라즈마에 노출시키는 단계와, 상기 기판을 상기 산소 함유 환경에 노출시키는 단계의 사이에, 상기 플라즈마 처리 시스템을 퍼지(purge)하는 단계를 더 포함하는 기판 상의 금속 함유층의 에칭 방법.
  16. 제1항에 있어서, 상기 기판이 놓이는 기판 홀더에 RF(radio frequency) 전력을 커플링하여 상기 기판에 전기적 바이어스를 인가하는 단계; 및
    상기 전기적 바이어스를 위한 RF 전력 레벨을 조절하여, 상기 기판 상에 형성된 상기 알루미늄 함유층과 Si 및 O 함유층 간의 목표 에칭 선택도를 달성하는 단계를 더 포함하는 기판 상의 금속 함유층의 에칭 방법.
  17. 삭제
  18. 기판 상의 금속 함유층의 에칭 방법에 있어서,
    상부에 알루미늄 함유층 - 상기 알루미늄 함유층은 알루미늄, 알루미늄 합금, 또는 산화 알루미늄(AlOx)임 - 과 Si 및 O 함유층이 형성된 기판을 플라즈마 처리 시스템 내에 배치하는 단계;
    할로겐 원소를 포함하는 공정 조성물로부터 플라즈마를 형성하는 단계;
    상기 기판이 놓이는 기판 홀더에 RF 전력을 커플링하여 상기 기판에 전기적 바이어스를 인가하는 단계;
    상기 알루미늄 함유층을 에칭하기 위해 상기 기판을 상기 플라즈마에 노출시키는 단계;
    상기 전기적 바이어스를 위한 RF 전력 레벨을 조절하여, 상기 기판 상에 형성된 상기 알루미늄 함유층과 Si 및 O 함유층 간의 목표 에칭 선택도를 달성하는 단계; 및
    상기 알루미늄 함유층의 표면을 산화시키고 상기 알루미늄 함유층의 에칭률을 제어하기 위해 상기 기판을 산소 함유 환경에 노출시키는 단계
    를 포함하고,
    상기 플라즈마를 형성하는 단계는, 상기 플라즈마에 인접한 전자기(EM)파 런처의 플라즈마 표면 상에 표면파를 발생시킴으로써 마이크로파 주파수의 전자기(EM) 에너지를 원하는 EM파 모드로 상기 플라즈마에 커플링하는 단계를 포함하고,
    상기 EM파 런처는 슬롯 안테나를 포함하며, 상기 슬롯 안테나에는 상기 EM 에너지를 상기 슬롯 안테나 위쪽의 제1 영역으로부터 상기 슬롯 안테나 아래쪽의 제2 영역으로 커플링하도록 구성된 복수의 슬롯이 상기 슬롯 안테나를 관통하여 형성되어 있는 것인 기판 상의 금속 함유층의 에칭 방법.
  19. 삭제
  20. 기판 상의 금속 함유층의 에칭 방법에 있어서,
    상부에 알루미늄 함유층이 형성된 기판을 플라즈마 처리 시스템 내에 배치하는 단계;
    2 단계의 에칭 프로세스로 상기 알루미늄 함유층을 에칭하는 단계로서,
    HBr 및 화학식이 CxHyRz(R은 할로겐 원소, x와 y는 1 이상의 수, z는 0 이상의 수)인 첨가 가스를 포함하는 제1 공정 조성물로부터 제1 플라즈마를 형성하는 단계;
    상기 알루미늄 함유층을 에칭하기 위해 상기 기판을 상기 제1 플라즈마에 노출시키는 단계; 및
    그 후에, HBr을 포함하는 제2 공정 조성물로부터 제2 플라즈마를 형성하는 단계
    를 포함하는, 상기 2 단계의 에칭 프로세스로 상기 알루미늄 함유층을 에칭하는 단계; 및
    상기 알루미늄 함유층을 더 에칭하기 위해 상기 기판을 상기 제2 플라즈마에 노출시키는 단계
    를 포함하는 기판 상의 금속 함유층의 에칭 방법.
  21. 제20항에 있어서, 상기 알루미늄 함유층은 알루미늄 산화물(AlOx) 표면층을 갖는 벌크 알루미늄층을 포함하고, 상기 제1 플라즈마는 상기 알루미늄 산화물 표면층을 에칭하고 상기 제2 플라즈마는 상기 벌크 알루미늄층을 에칭하는 것인 기판 상의 금속 함유층의 에칭 방법.
  22. 제21항에 있어서, 상기 첨가 가스는 CH3F인 것인 기판 상의 금속 함유층의 에칭 방법.
KR1020120100351A 2011-09-12 2012-09-11 건식 금속 에칭 방법 KR102054017B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/230,721 US8808562B2 (en) 2011-09-12 2011-09-12 Dry metal etching method
US13/230,721 2011-09-12

Publications (2)

Publication Number Publication Date
KR20130028873A KR20130028873A (ko) 2013-03-20
KR102054017B1 true KR102054017B1 (ko) 2019-12-09

Family

ID=47830217

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120100351A KR102054017B1 (ko) 2011-09-12 2012-09-11 건식 금속 에칭 방법

Country Status (4)

Country Link
US (1) US8808562B2 (ko)
KR (1) KR102054017B1 (ko)
CN (1) CN103000511B (ko)
TW (1) TWI478235B (ko)

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) * 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102334378B1 (ko) * 2015-09-23 2021-12-02 삼성전자 주식회사 유전체 윈도우, 그 윈도우를 포함한 플라즈마 공정 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10340123B2 (en) * 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7090521B2 (ja) * 2018-09-26 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
DE102018124576A1 (de) * 2018-10-05 2020-04-09 Osram Opto Semiconductors Gmbh Verfahren zur herstellung eines halbleiterbauelements mit durchführung einer plasmabehandlung und halbleiterbauelement
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003229412A (ja) * 2002-02-04 2003-08-15 Matsushita Electric Ind Co Ltd ドライエッチング方法および半導体素子
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL188432C (nl) * 1980-12-26 1992-06-16 Nippon Telegraph & Telephone Werkwijze voor het vervaardigen van een mosfet.
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
US5994235A (en) 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
JP2000133633A (ja) * 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
JP4723503B2 (ja) 2003-05-30 2011-07-13 東京エレクトロン株式会社 高k誘電体材料をエッチングするための方法とシステム
JP2006120983A (ja) * 2004-10-25 2006-05-11 Hitachi High-Technologies Corp プラズマエッチング方法
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
JP4554461B2 (ja) * 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US7964512B2 (en) 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP2009302181A (ja) 2008-06-11 2009-12-24 Tokyo Electron Ltd プラズマエッチング処理方法およびプラズマエッチング処理装置
JP2010009779A (ja) * 2008-06-24 2010-01-14 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び有機電子デバイス

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003229412A (ja) * 2002-02-04 2003-08-15 Matsushita Electric Ind Co Ltd ドライエッチング方法および半導体素子
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法

Also Published As

Publication number Publication date
TWI478235B (zh) 2015-03-21
US8808562B2 (en) 2014-08-19
CN103000511B (zh) 2016-06-01
KR20130028873A (ko) 2013-03-20
CN103000511A (zh) 2013-03-27
TW201314767A (zh) 2013-04-01
US20130065398A1 (en) 2013-03-14

Similar Documents

Publication Publication Date Title
KR102054017B1 (ko) 건식 금속 에칭 방법
US9673059B2 (en) Method for increasing pattern density in self-aligned patterning integration schemes
KR101628593B1 (ko) 감소된 측벽 스페이서 슬림화를 갖는 고선택적 스페이서 에칭 공정
JP6484665B2 (ja) 高アスペクト比フィーチャをエッチングするための多周波電力変調
US9443731B1 (en) Material processing to achieve sub-10nm patterning
JP2519364B2 (ja) Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
JP5122966B2 (ja) 表面波プラズマソース
JP5086083B2 (ja) 基板を処理するための方法
US8968588B2 (en) Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
KR100428889B1 (ko) 플라즈마에칭방법
JP5242162B2 (ja) 表面波プラズマソース
JP2016143890A5 (ko)
KR20110018431A (ko) 플라즈마 처리장치
US10354841B2 (en) Plasma generation and control using a DC ring
JP2016537830A (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
WO2013046050A2 (en) Dry cleaning method for recovering etch process condition
TW201620034A (zh) 利用鈍化反應的銅之非等向性蝕刻
US9111727B2 (en) Plasma tuning rods in microwave resonator plasma sources
US20130084706A1 (en) Plasma-Tuning Rods in Surface Wave Antenna (SWA) Sources
TWI539484B (zh) 使用電漿處理用電漿調整桿之系統
CN107690694B (zh) 通过溢料添加进行部分蚀刻记忆

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant