TW201314767A - 乾式金屬蝕刻方法 - Google Patents

乾式金屬蝕刻方法 Download PDF

Info

Publication number
TW201314767A
TW201314767A TW101120902A TW101120902A TW201314767A TW 201314767 A TW201314767 A TW 201314767A TW 101120902 A TW101120902 A TW 101120902A TW 101120902 A TW101120902 A TW 101120902A TW 201314767 A TW201314767 A TW 201314767A
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
aluminum
metal layer
exposing
Prior art date
Application number
TW101120902A
Other languages
English (en)
Other versions
TWI478235B (zh
Inventor
Yusuke Ohsawa
Hiroto Ohtake
Eiji Suzuki
Kaushik Kumar
Andrew W Metz
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201314767A publication Critical patent/TW201314767A/zh
Application granted granted Critical
Publication of TWI478235B publication Critical patent/TWI478235B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32247Resonators

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種基板上含金屬層的蝕刻方法。該方法包含由含鹵素元素之製程成分形成電漿,且將該基板暴露於該電漿,以蝕刻該含鋁層。該方法可額外包含將該基板暴露於含氧環境,以氧化該含鋁層的表面,且控制該含鋁層的蝕刻率。該方法可更包含:由包含HBr和具有化學式CxHyRz的添加氣體的製程成分(其中R係一鹵素元素,x和y等於或大於一,且z等於或大於零),形成第一電漿;由包含HBr的製程成分形成第二電漿;及將該基板暴露於該第一及第二電漿,以蝕刻該含鋁層。

Description

乾式金屬蝕刻方法
本發明係關於在基板上含金屬層之蝕刻方法。
在半導體的製造中,含金屬材料是常見的,且對製程整合造成難以克服的挑戰。特別是,需要改進的金屬蝕刻製程。
本發明的實施例係關於基板上含金屬層的蝕刻方法。本發明的其他實施例係關於基板上例如鋁、鋁合金、或鋁氧化物(AlOx)之含鋁層的蝕刻方法。
根據一個實施例,描述一種基板上含金屬層的蝕刻方法。該方法包含:將具有含鋁層形成於其上的基板,配置於一電漿處理系統之中;由含鹵素元素之製程成分形成電漿;及將該基板暴露於該電漿,以蝕刻該含鋁層。該方法額外包含將該基板暴露於含氧環境,以氧化該含鋁層的表面,且控制該含鋁層的蝕刻率。
根據另一實施例,描述一種基板上含金屬層的蝕刻方法。該方法包含將具有含鋁層形成於其上的一基板配置於一電漿處理系統之中;由包含HBr和具有化學式CxHyRz的添加氣體的製程成分,形成第一電漿(其中R係一鹵素元素,x和y等於或大於一,且z等於或大於零);及將該基板暴露於該第一電漿,以蝕刻該含鋁層。該方法更包含:由包含HBr的第二製程成分,形成第二電漿;及將該基板暴露於該第二電漿,以蝕刻該含鋁層。
根據又另一實施例,描述一種基板上的含金屬層的蝕刻方法。該方法包含:將具有含鋁層形成於其上的一基板配置於一電漿處理系統之中;由包含鹵素元素的製程成分形成電漿;藉由耦合射頻(RF)功率至支撐該基板的一基板固持器,施加電偏壓至該基板;及將該基板暴露於該電漿,以蝕刻該含鋁層。該方法額外包含藉由調整該電偏壓的RF功率位準,達到該基板上所形成含 鋁層和含Si及O層之間的目標蝕刻選擇性。
在以下說明中,以解釋而非限定為目的,敘述特定的細節,例如處理系統的特定幾何形狀、其中所使用的各種構件及製程的說明。然而,必須理解的是,本發明可在偏離這些特定細節的其他實施例中加以實施。
類似地,為了解釋,敘述特定的數目、材料、和構造,以提供對本發明的完整理解。儘管如此,本發明可在沒有這些特定細節下而加以實施。此外,必須理解的是,在圖中所示各種實施例係例示圖示,且不需要依比例繪製。
各種操作將在最有助於理解本發明的方式下以多個分立的操作依次加以描述。然而,說明的順序不應視為意味這些操作必須順序相依。特別是,這些操作不需要以描述的順序加以執行。所述操作可以與所述實施例不同的順序加以執行。可執行各種其他的操作,且/或所述操作可在其他的實施例中加以省略。
此處所使用「基板」泛指根據本發明所處理的物件。該基板可包含元件(特別是半導體或其他電子元件)的任何材料部分或結構,且舉例來說可為基底基板結構,例如半導體晶圓,或在一基底基板之上或覆蓋一基底基板的一層,例如薄膜。因此,基板不限定於任何特定的基底結構、下層或上層、圖案化或非圖案化,而是包含任何此等層或基底結構,以及此等層和/或基底結構的組合。以下說明可能參照特定型態的基板,但這是以例示而非限定為目的。
如上所述,在半導體製造中,金屬蝕刻持續造成製程整合上難以克服的挑戰。作為範例,圖1A提供第一含金屬層圖案化方法的圖示說明。其中,藉由圖案化包含含金屬層(150A、150B、150C)的元件堆疊,在基板110之上形成複數元件結構100。含金屬層(150A、150B、150C)可包含金屬、金屬合金、金屬氧化物、金屬氮化物、或金屬矽酸鹽。因此,存在圖案蝕刻含金屬層 (150A、150B、150C)且達到在基板上含金屬層和其他材料之間可接受的輪廓控制和蝕刻選擇性的需求。
作為另一範例,圖1B提供第二含金屬層圖案化方法的圖示說明。其中,藉由設置介電層114(例如矽氮化物層或矽氧化物層),且以含金屬層(151A、151B、151C)填充形成於該介電層114之中的圖案,在基板110之上形成複數元件結構101。含金屬層(151A、151B、151C)可包含金屬、金屬合金、金屬氧化物、金屬氮化物、或金屬矽酸鹽。因此,存在回蝕含金屬層(151A、151B、151C)且達到在基板上含金屬層和其他材料之間可接受的蝕刻選擇性的需求。
在兩個實例中,破穿形成於含金屬層的暴露表面處的任何金屬氧化物並且可控制地蝕刻含金屬層是重要的。舉例來說,在後者中重要的是,破穿在含金屬層(151A、151B、151C)的暴露表面處所形成的任何金屬氧化物,且可控制地蝕刻含金屬層(151A、151B、151C)至達到約300埃的範圍(例如:達到約200埃,或在約50埃到約200埃的範圍)的蝕刻深度。此外,在具有對介電層114之選擇性的情況下蝕刻含金屬層(151A、151B、151C)是重要的。再者,在基板110各處均勻地蝕刻含金屬層(151A、151B、151C)是重要的。
如圖1C所述,藉由以含金屬層(152A、152B、152C)填充在介電層114中所形成的圖案,形成複數的元件結構102。在含金屬層(152A、152B、152C)蝕刻期間,含金屬層(152A、152B、152C)的暴露金屬表面(155A、155B、155C)逐步向下進展,如此,在含金屬層(152A、152B、152C)之中形成凹部。然而,在含金屬層(152A、152B、152C)蝕刻期間,由於自所暴露的介電表面115釋放氧(其為消耗介電層114之蝕刻副產品),暴露金屬表面(155A、155B、155C)係不均勻地進展。由所暴露的介電表面115到不同的暴露金屬表面(155A、155B、155C)之氧的擴散路徑(116A、116B、116C)的差異,造成這些暴露金屬表面的氧化速率的差異,因此,產生含金屬層(152A、152B、152C)之蝕 刻的圖案相依性。
因此,根據一個實施例,在圖2中說明在基板上含金屬層的蝕刻方法。如圖2所示,該方法包含流程圖200,其起始於步驟210,該步驟係在一電漿處理系統中配置具有含金屬層形成於其上的一基板。該含金屬層可包含金屬、金屬合金、金屬氮化物、或金屬氧化物、或其組合。此外,該含金屬層可包含例如鋁、鋁合金、或鋁氧化物(AlOx)、或其組合的含鋁層。舉例來說,含金屬層可包含具有鋁氧化物表面層的主體(bulk)鋁層。此外,舉例來說,可利用含金屬層於半導體元件之中。另外,舉例來說,含金屬層可被整合於圖1A和1B所示之元件結構(例如元件結構100、101)之中。
該基板可包含主體矽基板、單晶矽(摻雜或無摻雜)基板、絕緣體上半導體(SOI,semiconductor-on-insulator)基板、或其他半導體基板(含有例如Si、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InP、以及其他三五族或二六族化合物半導體、或其組合)。該基板可為任何尺寸,例如200 mm(毫米)基板、300 mm基板、或甚至更大的基板。
在步驟220中,電漿係由含有鹵素元素的製程成分所形成。該製程成分可包含一鹵素元素和選自由C、H、F、Cl、及Br所組成的群組的一個以上的元素。此外,該製程成分可包含Br和選自由C、H、F、及Cl所組成的群組的一個以上元素。
該製程成分可包含含氟氣體、含氯氣體、含溴氣體、鹵化物氣體、或鹵化甲烷、或其二者以上的組合。舉例來說,該製程成分可包含F2、Cl2、Br2、BCl3、NF3、或SF6。此外,舉例來說,該製程成分可包含鹵化物,例如HF、HCl、HBr、或HI。而且,舉例來說,該製程成分可包含鹵化甲烷,例如單取代鹵化甲烷(例如CH3F)、雙取代鹵化甲烷(例如CH2F2)、三取代鹵化甲烷(例如CHF3)、或四取代鹵化甲烷(例如CF4)。
該製程成分可更包含含C和選自由H、F、Cl、Br、及I所組成的群組的一個以上元素的添加氣體。舉例來說,製程成分 可更包含羥(即CxHy,其中x和y等於或大於一)。或者,舉例來說,製程成分可更包含氟碳化合物(即CxFy,其中x和y等於或大於一)。又或者,舉例來說,製程成分可更包含具有化學式CxHyRz的添加氣體,其中R係鹵素元素、x和y等於或大於一、且z等於或大於零。
在一個實施例中,當蝕刻含鋁層之時,該製程成分可包含HBr。
在另一實施例中,當蝕刻含鋁層之時,該製程成分可包含HBr、含C和選自由H、F、Cl、Br、及I所組成的群組的一個以上元素的添加氣體。
在另一實施例中,當蝕刻含鋁層之時,該製程成分可包含HBr及具有化學式CxHyFz的添加氣體,其中x、y、及z係等於或大於一。
在又另一實施例中,當蝕刻含鋁層之時,該製程成分可包含HBr及具有化學式CH3F的添加氣體。
在步驟230中,將基板暴露於電漿,以蝕刻含金屬層。含金屬層的蝕刻方法可包含蝕刻製程配方的配製。蝕刻製程配方可包含一個以上製程條件,其由一個以上製程參數所定義。藉由設定一個以上製程參數,例如:設定製程成分的流量、設定電漿處理系統之中的壓力、設定施加至用於支撐及電偏壓基板的基板固持器之內的下電極的第一RF訊號的第一射頻(RF)功率位準、設定施加至在基板之上與下電極對向的來源天線或電極之第二RF訊號的第二RF(或微波)功率位準、設定電漿處理系統的溫度條件、設定基板或基板固持器的溫度條件、設定蝕刻時間、及/或設定過蝕刻時間,可建立該一個以上製程條件。在該蝕刻方法期間,該等製程參數的其中任一者可加以改變。
在一個實施例中,蝕刻方法可包含一製程參數空間,其包含:上達約1000 mtorr(毫托)的腔室壓力(例如:上達約200 mtorr、或在約30到約100 mtorr之範圍)、上達約2000 sccm(每分鐘標準立方公分)的含鹵素氣體流量(例如:上達約1000 sccm、或約 1 sccm到約200 sccm)、上達約2000 sccm的選擇性添加氣體流量(例如:上達約1000 sccm、或上達約100 sccm、或上達約10 sccm、或在約1 sccm到約10 sccm之範圍)、上達約2000 sccm的選擇性稀有氣體(例如He或Ar)流量(例如:上達約1000 sccm、或上達約500 sccm)、上達約3000 W(瓦)的SWP(表面波電漿)源(例如:圖11中的構件1180)功率(例如:上達約2500 W、或約1500 W到約2500 W之範圍)、及上達約1000 W的用於電偏壓基板的下電極(例如圖11中的構件522)RF功率位準(例如:上達約500 W、或上達約200 W、或上達約100 W)。此外,SWP源可操作在微波頻率,例如2.48 GHz。此外,下電極偏壓頻率可在約0.1 MHz到約100 MHz的範圍,例如約2 MHz或13.56 MHz。
在步驟240中,可進一步將基板暴露於含氧環境,以氧化含金屬層的暴露表面及控制含金屬層的蝕刻率。舉例來說,當蝕刻含鋁層時,利用以HBr為基底之製程成分的鋁氧化物的蝕刻率低於鋁的蝕刻率。藉由加入氧,含金屬層的蝕刻率可降低至小於或等於約每分鐘100埃,或小於或等於約每分鐘50埃。因此,所移除含金屬層的量(例如深度或厚度)可相對地更可控制。此外,經由加入氧,可減少或甚至消除在圖3C所述的圖案缺陷。此處,本案發明人察覺氧的添加可降低和消除由鄰接被蝕刻含金屬層的氧化物表面之氧的圖案相依釋放。
含氧環境可含原子氧、雙原子氧、三原子氧、介穩氧、經激發的氧、離子化的氧、含氧自由基等等。含氧環境可含O、O2、O3、CO、CO2、NO、N2O、或NO2、或其二者以上的任何組合。含氧環境可包含含氧電漿。含氧電漿的產生可相對於基板而在原位(in-situ)或離位(ex-situ)。
如圖3A所述,可同時執行暴露基板於電漿(例如含鹵素電漿)與暴露基板於含氧環境。舉例來說,形成電漿的製程成分可包含含氧氣體。
如圖3B所述,可依序地將基板暴露於電漿(例如含鹵素電漿)及將基板暴露於含氧環境。舉例來說,可將基板暴露於含氧 環境,且之後,將基板暴露於電漿。此外,如圖3B所示,可依序地且交替地執行將基板暴露於電漿與將基板暴露於含氧環境一個以上暴露循環。舉例來說,可暴露基板於含氧環境,且之後,將基板暴露於電漿,如此形成一暴露循環,該暴露循環可加以重複。
如圖3C所述,當在電漿處理系統中執行將基板暴露於電漿(例如含鹵素電漿)及將基板暴露於含氧環境二者之時,該方法可更包含介於暴露基板至電漿的步驟與暴露該基板於含氧環境的步驟之間的電漿處理系統的沖洗(purging)步驟。
根據另一實施例,在圖4中描述在基板上的含金屬層的蝕刻方法。如圖4所示,該方法包含流程圖400,其起始於步驟410,該步驟係在電漿處理系統中配置具有一含鋁層與一含Si和O層皆形成於其上的一基板。舉例來說,該含鋁層可包含具有鋁氧化物表面層的主體鋁層,且該含Si和O層可包含矽氧化物。
該蝕刻方法可包含:在步驟420中,由含HBr和具有化學式CxHyRz之添加氣體的製程成分形成第一電漿,其中R係鹵素元素、x和y等於或大於一、且z等於或大於零;且在步驟430中,將基板暴露於第一電漿,以破穿鋁氧化物表面層。之後,該方法可更包含:在步驟440中,由含HBr的製程成分形成第二電漿,且在步驟450中,將基板暴露於第二電漿,以蝕刻該主體鋁。
根據又另一實施例,當期望要達到蝕刻例如含鋁層之含金屬層與例如含Si和O層(例如:在圖1B及1C之中的氧化物層114)之基板上的另一層之間的目標蝕刻選擇性之時,可改變上述製程參數至少其中一者。舉例來說,可藉由減少或終止用於基板之電偏壓的第一RF功率位準(即零偏壓條件),增加鋁氧化物和矽氧化物之間的蝕刻選擇性(即鋁氧化物蝕刻率對矽氧化物蝕刻率的比例)。
可利用例如圖11中所述者之電漿處理系統,執行上述含金屬層的蝕刻方法其中一或多者。然而,所討論的方法不受此例示描述之範圍所限定。根據上述各種實施例在基板上的含金屬層的蝕刻方法,可在圖5到11所示以下所描述之電漿處理系統其中任 一者之中加以執行。
根據一個實施例,在圖5中顯示一電漿處理系統500,其建構成執行上述製程條件,該系統包含:一電漿處理腔室510;基板固持器520,待處理基板525係固定於其上;及真空泵系統550。基板525可為半導體基板、晶圓、平板顯示裝置、或液晶顯示裝置。電漿處理腔室510可建構成用以促進在基板525的表面的鄰近區域之中的電漿處理區域545之中電漿的產生。經由氣體分配系統540將可離子化氣體或製程氣體的混合物導入。對特定的製程氣體流,利用真空泵系統550調整製程壓力。可利用電漿以產生預定材料製程之特定的材料,且/或輔助自基板525的暴露表面移除材料。電漿處理系統500可建構成用以處理任何所欲尺寸的基板,例如200 mm基板、300 mm基板、或更大者。
基板525可經由例如機械式夾持系統或電性夾持系統(例如靜電夾持系統)之夾持系統528,固定於該基板固持器520。此外,基板固持器520可包含加熱系統(未顯示)或冷卻系統(未顯示),其用以調整和/或控制基板固持器520和基板525的溫度。該加熱系統或冷卻系統可包含傳熱流體的迴流,其在冷卻時自基板固持器520受熱且將熱傳送至熱交換器系統(未顯示),或在加熱時將熱由熱交換器系統傳送至基板固持器520。在其他實施例中,可將例如電阻加熱構件或熱電加熱器/冷卻器之加熱/冷卻構件,包含於基板固持器520、電漿處理腔室510的腔室壁、及在電漿處理系統500之內的任何其他構件之中。
此外,可將傳熱氣體經由背部氣體供給系統526傳送至基板525的背部,以增進基板525和基板固持器520之間的氣體間隙熱傳導。可利用此一系統於需要在升高的或降低的溫度下之基板的溫度控制之時。舉例來說,背部氣體供給系統可包含二區氣體分配系統,其中氦氣體間隙壓力可獨立地在基板525的中央和邊緣之間加以改變。
在圖5所示實施例中,基板固持器520可包含電極522,RF功率經由該電極耦合至電漿處理區域545之中的處理電漿。舉 例來說,可透過自RF產生器530經由一選擇性阻抗匹配網路532傳送RF功率至基板固持器520而電偏壓基板固持器520於一RF電壓。該RF電偏壓可用以加熱電子以形成和維持電漿。在這個構造中,該系統可運作為活性離子蝕刻(RIE,reactive ion etch)反應器,其中腔室和上部氣體注入電極係作為接地表面。RF偏壓典型的頻率可在約0.1 MHz到約100 MHz的範圍。電漿處理之RF系統係熟習此技術者所熟知。
此外,電極522於一RF電壓的電偏壓可利用脈衝偏壓訊號控制器531而產生脈衝。舉例來說,可使自RF產生器530的RF功率輸出產生在一關閉狀態和一開啟狀態之間的脈衝。
或者是,可於多個頻率施加RF功率至基板固持器電極。此外,阻抗匹配網路532可藉由降低反射功率增進傳送RF功率至電漿處理腔室510之中的電漿。匹配網路拓樸(例如L型、π型、T型等等)和自動控制方法係熟習此技術者所熟知。
氣體分配系統540可包含導入製程氣體混合物的噴淋頭設計。或者是,氣體分配系統540可包含用於導入製程氣體混合物和調整在基板525之上製程氣體混合物的分布的多區噴淋頭設計。舉例來說,多區噴淋頭設計可用以相對於基板525之上的實質上中央區域的製程氣體流或成分的量而調整基板525之上之實質上周圍區域的製程氣體流或成分。
真空泵系統550可包含能夠達到約每秒5000公升(或更大)泵速度之渦輪分子真空泵(TMP)、及調節腔室壓力的閘閥。在用於乾式電漿蝕刻的一般電漿處理裝置中,可使用每秒1000到3000公升的TMP。對於一般小於約50 mTorr的低壓處理,TMP是有用的。對於高壓處理(即大於約100 mTorr),可使用機械升壓泵和乾式粗抽泵。此外,可將監測腔室壓力的裝置(未顯示)連接至電漿處理腔室510。
控制器555包含微處理器、記憶體、及數位I/O埠,其能夠產生控制電壓,該電壓足以與到達電漿處理系統500的輸入通訊和將其致活,且監控來自電漿處理系統500的輸出。此外,控 制器55可連結至RF產生器530、脈衝偏壓訊號控制器531、阻抗匹配網路532、氣體分配系統540、真空泵系統550、以及基板加熱/冷卻系統(未顯示)、背部氣體供給系統526、和/或靜電夾持系統528,並與該等構件交換資訊。舉例來說,儲存於記憶體之中的程式可用以根據一製程配方致活電漿處理系統500的前述構件之輸入,以在基板525之上執行一電漿輔助製程,例如電漿蝕刻製程。
控制器555可相對於電漿處理系統500而設置在附近,或相對於該電漿處理系統500而遠距離設置。舉例來說,舉例來說,控制器555可利用直接連接、內部網路、和/或網際網路,與電漿處理系統500交換資料。控制器555可於例如顧客端(customer site)(即元件製造者等)連接至一內部網路,或是於例如販售商端(vendor site)(即設備製造者)連接至一內部網路。或者是或額外地,控制器555可連接至網際網路。此外,其他電腦(即控制器、伺服器等)可經由直接連接、內部網路、和/或網際網路存取控制器555以交換資料。
在圖6所示之實施例中,電漿處理系統600可類似於圖5之實施例,且除了參照圖5所述的構件外,更包含固定式、機械式、或電性旋轉磁場系統660,以能夠增加電漿密度和/或增進電漿處理均勻性。此外,控制器555可連接至磁場系統660,以控制旋轉速度和場強度。旋轉磁場的設計和實現係為熟習此技術領域者所熟知。
在如圖7所示之實施例中,電漿處理系統700可類似於圖5或圖6之實施例,且可更包含一上電極770,經由選擇性的阻抗匹配網路774,來自RF產生器772的RF功率可耦合至該上電極770。對該上電極所施加之RF功率的頻率可在約0.1 MHz到約200 MHz的範圍內。此外,對下電極所施加功率的頻率可在0.1 MHz到約100 MHz的範圍內。此外,控制器555連接至RF產生器772和阻抗匹配網路774,以控制對上電極770施加RF功率。上電極的設計和實現係為熟習此技術領域者所熟知。上電極770和氣體 分配系統540可如所示設計於同一腔室組件之內。或者是,上電極770可包含用於調整耦合至基板525之上的電漿之RF功率分布的多區電極設計。舉例來說,上電極770可分割成中央電極和邊緣電極。
在圖8所示之實施例,電漿處理系統800可類似於圖7實施例,且可更包含耦合至與基板525對向之上電極770的直流(DC)電源890。上電極770可包含一電極板。該電極板可包含含矽電極板。此外,該電極板可包含摻雜矽電極板。DC電源890可包含可變DC電源。此外,該DC電源890可包含二極DC電源。DC電源890可更包含一系統,其用以執行DC電源890的極性、電流、電壓、或開閉狀態之監控、調整、或控制至少其中之一。一旦電漿形成,DC電源890促進彈道電子束(ballistic electron beam)的形成。可利用電濾波器(未顯示)自DC電源890將RF功率去耦合。
舉例來說,由DC電源890施予上電極770的DC電壓可在約-2000伏特(V)到約1000 V的範圍。所期望的是,DC電壓的絕對值等於或大於約100 V,且更佳為,DC電壓的絕對值等於或大於約500 V。此外,DC電壓較佳具有負極性。再者,DC電壓較佳為負電壓,其絕對值大於上電極770表面上所產生的自偏壓電壓。面對基板固持器520之上電極770的表面,可包含含矽材料。
在圖9所示之實施例中,電漿處理系統900可類似於圖5和6的實施例,且更包含感應線圈980,RF功率經由選擇性的阻抗匹配網路984由RF產生器982耦合至該感應線圈980。RF功率係自感應線圈980經由介電質窗(dielectric window)(未顯示)電感式耦合至電漿處理區域545。對感應線圈980所施予RF功率的頻率可在約10 MHz到約100 MHz的範圍。類似地,對夾盤電極(chuck electrode)所施予功率的頻率可在約0.1 MHz到約100 MHz的範圍。此外,一具槽溝的法拉第屏蔽(未顯示)可加以運用,以降低感應線圈980和電漿處理區域545中的電漿之間的電 容耦合。此外,控制器555可連接至RF產生器982和阻抗匹配網路984,以控制對感應線圈980所施加的功率。
在一替代的實施例中,如圖10所示,電漿處理系統1000可類似於圖9的實施例,且可更包含感應線圈1080,其為由上方與電漿處理區域545交連之「螺旋形線圈」或「盤餅形」線圈,如同變壓耦合電漿(TCP,transformer coupled plasma)反應器之中的情形。電感耦合電漿(ICP)源、或變壓耦合電漿(TCP)源的設計和實現係為熟習此技術領域者所熟知。
或者是,電漿可利用電子迴旋共振(ECR)加以形成。在又另一實施例中,電漿由發射螺旋波(Helicon wave)加以形成。在又另一實施例中,電漿係由傳播表面波而加以形成。上述電漿源皆為熟習此技術領域者所熟知。
在圖11所示之實施例中,電漿處理系統1100可類似於圖5之實施例,且可更包含一表面波電漿(SWP,surface wave plasma)源1130。SWP源1130可包含槽孔天線,例如輻射線槽孔天線(RLSA,radial line slot antenna),微波功率經由功率耦合系統1190耦合至該輻射線槽孔天線。
現在參照圖12,提供根據一實施例SWP源1230的示意圖。SWP源1230包含電磁(EM)波發射器1232,其用以藉由在鄰接電漿之該EM波發射器1232的電漿表面1260上產生一表面波而以所欲的EM波模態耦合EM能量。此外,SWP源1230包含功率耦合系統1290,其耦合至EM波發射器1232且用以將EM能量提供至EM波發射器1232以形成電漿。
EM波發射器1232包含微波發射器,其用以將微波功率輻射進入電漿處理區域545(見圖11)。EM波發射器1232經由同軸饋入(coaxial feed)1238耦合至功率耦合系統1290,微波能量係經由該同軸饋入1238而加以傳送。功率耦合系統1290包含微波源1292,例如2.45 GHz微波功率源。將由微波源1292所產生的微波能量經由波導1294加以導引至隔離器1296,以吸收被反射回微波源1292的微波能量。之後,經由同軸轉換器1298,將微波能 量轉換至同軸TEM(橫向電磁)模態。
可使用調諧器於阻抗匹配及增進功率傳輸。微波能量係經由同軸饋入1238耦合至EM波發射器1232,其中發生由同軸饋入1238中TEM模態至TM(橫向磁性)模態之另一模態改變。關於同軸饋入1238和EM波發射器1232之設計的其他細節,可見於美國專利第5,024,716號,名稱為”Plasma processing apparatus for etching,ashing,and film-formation”,其內容藉由參照而全體引用於此。
現在參照圖13A和13B,分別提供根據一個實施例之EM波發射器1332的橫剖面示意圖和仰視圖。EM波發射器1332包含同軸饋入1338,其具有內導體1340、外導體1342、及例如空氣間隙的隔離器1341、及槽孔天線1346,該槽孔天線具有如圖13A所示連結於內導體1340和外導體1342之間的複數槽孔1348。該複數槽孔1348允許EM能量由槽孔天線1346之上的第一區域耦合至槽孔天線1346之下的第二區域,其中電漿係鄰接於EM波發射器1332之上的電漿表面1360而形成。EM波發射器1332可更包含慢波板1344、及共振器板1350。
槽孔1348的數量、幾何形狀、尺寸、及分布係對電漿處理區域545(見圖11)中所形成的電漿的空間均勻性有所影響的因子。因此,槽孔天線1346的設計可用以控制在電漿處理區域545(見圖11)中的電漿的空間均勻性。
如圖13A所示,EM波發射器1332可包含流體通道1356,其用以流動用於EM波發射器1332之溫度控制的溫度控制流體。雖未顯示,EM波發射器1332可進一步用以將製程氣體經由電漿表面1360導引至電漿。雖未顯示,氣體分配系統,例如圖11的氣體分配系統(540),可連接至EM波發射器1332和/或腔室壁1352,以將製程氣體導入製程腔室。
繼續參考圖13A,EM波發射器1332可連結至電漿處理系統的上部腔室部分,其中在上部腔室壁1352和EM波發射器1332之間利用密封裝置1354可形成真空密封。密封裝置1354可包含 彈性體O型環;然而,亦可使用其他已知的密封機構。
通常,同軸饋入1338的內導體1340和外導體1342包含例如金屬之導電材料,而慢波板1344和共振器板1350包含介電材料。在後者中,慢波板1344和共振器板1350較佳包含相同的材料;然而,亦可使用不同的材料。選擇用於製造慢波板1344的材料,以相對於對應之自由空間波長降低傳播之電磁(EM)波之波長,並且選擇慢波板1344和共振器板1350的尺寸,以確保形成有效地輻射EM能量進入電漿處理區域545(見圖11)之駐波。
可由包含例如石英(二氧化矽)之含矽材料、或高介電常數(高k)材料之介電材料製造慢波板1344和共振器板1350。舉例來說,高k材料可具有大於4的介電常數。特別是,當使用電漿處理系統於蝕刻製程應用時,經常選擇石英以與蝕刻製程相容。
舉例來說,高k材料可包含本徵晶體矽(intrinsic crystal silicon)、氧化鋁陶瓷、鋁氮化物、及藍寶石。然而,亦可使用其他高k材料。此外,可根據特定製程的參數而選擇特定的高k材料。舉例來說,當由本徵晶體矽製造共振器板1350之時,電漿頻率於攝氏45度超過2.45 GHz。因此,本徵晶體矽適合低溫製程(即低於攝氏45度)。對於較高溫度製程,可由氧化鋁(Al2O3)或藍寶石製造共振器板1350。
對於上述SWP源的實際實施,電漿均勻性和電漿穩定性可能仍為挑戰。對於後者,在共振器板-電漿介面處(即電漿表面1360處)的駐波,當電漿參數改變時,可能易於模態跳躍(mode jump)。
如圖13A和13B所示,根據一個實施例,可與形成於電漿表面1360之中的第一凹部構造1362及形成於電漿表面1360之中的選擇性的第二凹部構造1364一起製造EM波發射器1332。
第一凹部構造1362可包含第一複數凹部。在第一凹部構造1362中的各個凹部可包含形成於電漿表面1360之內獨特的刻痕(indentation)或凹陷(dimple)。舉例來說,在第一凹部構造1362中的一凹部可包含圓柱狀幾何形狀、圓錐狀幾何形狀、平頂圓錐 狀(frusto-conical)幾何形狀、球狀幾何形狀、非球狀幾何形狀、矩形幾何形狀、錐狀幾何形狀、或任何任意形狀。第一凹部分布1362可包含以第一尺寸(例如:橫向尺寸(或寬度)、和/或縱向尺寸(或深度))為特徵的凹部。
第二凹部構造1364可包含複數凹部。在第二凹部構造1364之中的各個凹部可包含形成於電漿表面1360之內獨特的刻痕或凹陷。舉例來說,在第二凹部構造1364中的一凹部可包含圓柱狀幾何形狀、圓錐狀幾何形狀、平頂圓錐狀(frusto-conical)幾何形狀、球狀幾何形狀、非球狀幾何形狀、矩形幾何形狀、錐狀幾何形狀、或任何任意形狀。第二凹部分布1364可包含以第二尺寸(例如:橫向尺寸(或寬度)、和/或縱向尺寸(或深度))為特徵的凹部。在第一凹部構造1362中該等凹部的第一尺寸可與在第二凹部構造1364中的該等凹部的第二尺寸相同或不相同。舉例來說,第二尺寸可小於第一尺寸。
如圖13A和13B所示,共振器板1350包含具有板直徑和板厚度的介電板。其中,在共振器板1350上的電漿表面1360包含平坦表面1366,第一凹部構造1362和第二凹部構造1364係形成於該平坦表面1366之內。或者是,共振器板1350包含非平坦幾何形狀或任意幾何形狀。其中,電漿表面1360可包含非平坦表面,該第一凹部構造和第二凹部構造係形成於該非平坦表面之內(未顯示)。舉例來說,非平坦表面可為凹面、凸面、或其組合。
在共振器板1350之中EM能量的傳播,可以EM能量的特定頻率的有效波長(λ)及共振器板1350的介電常數為特徵。板厚度可為四分之一波長的整數倍數(nλ/4,其中n為大於零的整數)或半波長的整數倍數(mλ/2,其中m是大於零的整數)。舉例來說,板厚度可為約有效波長的一半(λ/2),或大於有效波長的一半(>λ/2)。或者是,板厚度可為有效波長的非整數分數倍數(即非半波長或四分之一波長的整數倍數)。又或者是,板厚度可在約25 mm(毫米)到約45 mm的範圍。
作為範例,第一凹部構造1362可包含第一複數圓柱狀凹 部,其中該第一複數圓柱狀凹部每一者係以第一深度和第一直徑為其特徵。如圖13B所示,第一凹部構造1362係位於電漿表面1360的外部區域。
第一直徑可為四分之一波長的整數倍數(nλ/4,其中n為大於零的整數)、或半波長的整數倍數(mλ/2,其中m是大於零的整數)、或有效波長的非整數分數倍數。此外,板厚度和第一深度之間的第一差距,可為四分之一波長的整數倍數(nλ/4,其中n為大於零的整數)、或半波長的整數倍數(mλ/2,其中m是大於零的整數)、或有效波長的非整數分數倍數。舉例來說,第一直徑可為約有效波長的一半(λ/2),且板厚度和第一深度之間的第一差距可為約有效波長的一半(λ/2)或約有效波長的四分之一(λ/4)。此外,舉例來說,板厚度可為約有效波長的一半(λ/2)或大於有效波長的一半(>λ/2)。
或者是,第一直徑可在約25 mm到約35 mm的範圍,且板厚度和第一深度之間的第一差距可在約10 mm到約35 mm的範圍。又或者是,第一直徑可在約30 mm到約35 mm的範圍,且第一差距可在約10 mm到約20 mm的範圍。又或者是,第一直徑和/或第一深度可為板厚度的一小部分。
在第一凹部構造1362之中,可利用倒角(chamfer)、外圓角和/或內圓角(即表面/角半徑或斜角),以影響鄰接表面之間的平滑表面過渡。在圓柱形凹部中,表面半徑可設定在凹部的圓柱形側壁和底部之間的角隅。此外,在圓柱狀凹部中,表面半徑可設定在圓柱狀側壁和電漿表面1360之間的角隅。舉例來說,表面半徑可設定在約1 mm到約3 mm之範圍。
作為另一範例,第二凹部構造1364可包含第二複數圓柱狀凹部,該第二複數圓柱狀凹部每一者係以第二深度及第二直徑為其特徵。如圖13B所示,第二凹部構造1364係位於電漿表面1360的一內區域的附近。
第二直徑可為四分之一波長的整數倍數(nλ/4,其中n為大於零的整數)、或半波長的整數倍數(mλ/2,其中m是大於零的 整數)、或有效波長的非整數分數倍數。此外,在板厚度和第二深度之間的第二差距可為四分之一波長的整數倍數(nλ/4,其中n為大於零的整數)、或半波長的整數倍數(mλ/2,其中m是大於零的整數)、或有效波長的非整數分數倍數。舉例來說,第二直徑可為約有效波長的一半(λ/2),且板厚度和第二深度之間的第二差距可為約有效波長的一半(λ/2)或約有效波長的四分之一(λ/4)。此外,舉例來說,板厚度可為約有效波長的一半(λ/2)或大於有效波長的一半(>λ/2)。
或者是,第二直徑可在約25 mm到約35 mm的範圍,且板厚度和第二深度之間的第二差距可在約10 mm到約35 mm的範圍。又或者是,第二直徑可在約30 mm到約35 mm的範圍,且第二差距可在約10 mm到約20 mm的範圍。又或者是,第二直徑和/或第二深度可為板厚度的一小部分。
在第二凹部構造1364之中,可利用倒角(chamfer)、外圓角和/或內圓角(即表面/角半徑或斜角),以影響鄰接表面之間的平滑表面過渡。在圓柱狀凹部中,表面半徑可設定在凹部的圓柱形側壁和底部之間的角隅。此外,在圓柱狀凹部中,表面半徑可設定在圓柱狀側壁和電漿表面1360之間的角隅。舉例來說,表面半徑可設定在約1 mm到約3 mm之範圍。
再度參考圖13B,提供圖13A所述EM波發射器1332的仰視圖。在槽孔天線1346中的複數槽孔1348被描繪成猶如可透過共振器板1350看見槽孔天線1346。如圖13B所示,複數槽孔1348係成對配置,其中槽孔的每一對包含配向成正交於第二槽孔的第一槽孔。然而,在複數槽孔1348中的槽孔的配向是任意的。舉例來說,在複數槽孔1348中槽孔的配向可根據預定的圖案,以達到電漿均勻性和/或電漿穩定性。
第一凹部構造1362係實質上對準複數槽孔1348中的槽孔的第一配置。其中,第一凹部構造1362的至少一個凹部可對準、部分對準、或不對準複數槽孔1348其中一或多者。第二凹部構造1364係部分對準在複數槽孔1348中的槽孔的第二配置,或不對準 在複數槽孔1348中該槽孔的第二配置。如圖13B所示,第二凹部構造1364不對準在複數槽孔1348中的槽孔的第二配置。
因此,第一和第二凹部構造1362、1364的配置和其與複數槽孔1348其中一者以上的對準可加以最佳化,以控制和/或增進電漿的均勻性和/或穩定性。關於電漿表面1360和EM波發射器1332的設計之其他細節,可見於待審查美國專利申請案公開序號第2011/0057562號,名稱為“Stable surface wave plasma source”,且申請於公元2009年9月8日,其內容藉由參照全體引用於此處。
現在參考圖14,提供根據另一實施例EM波發射器1432的橫剖面示意圖。EM波發射器1432包含同軸饋入1438,其具有內導體1440、外導體1442、及例如空氣間隙的隔離器1441、及槽孔天線1446,其具有如圖14連接於內導體1440和外導體1442之間的複數槽孔1448。複數槽孔1448允許由槽孔天線1446之上的第一區域耦合EM能量至槽孔1446之下的第二區域,其中電漿係鄰接EM波發射器1432之上的電漿表面1460而形成。EM波發射器1432可更包含慢波板1444、及共振器板1450。
槽孔1448的數量、幾何形狀、尺寸、及分布係對電漿處理區域545(見圖11)中所形成的電漿的空間均勻性可有所影響的因子。因此,槽孔天線1446的設計可用以控制在電漿處理區域545(見圖11)中的電漿的空間均勻性。
如圖14所示,EM波發射器1432可包含流體通道1456,其用以流動用於EM波發射器1432之溫度控制的溫度控制流體。雖未顯示,氣體分配系統,例如圖11的氣體分配系統(540),可連接至EM波發射器1432和/或腔室壁1452,以將製程氣體導入製程腔室。
繼續參考圖14,EM波發射器1432可連結至電漿處理系統的上部腔室部分,其中在上部腔室壁1452和EM波發射器1432之間可利用密封裝置1454形成真空密封。密封裝置1454可包含彈性體O型環;然而,亦可使用其他已知的密封機構。
通常,同軸饋入1438的內導體1440和外導體1442包含例 如金屬之導電材料,而慢波板1444和共振器板1450包含介電材料。在後者中,慢波板1444和共振器板1450較佳包含相同的材料;然而,亦可使用不同的材料。選擇用於製造慢波板1444的材料,以相對於對應之自由空間波長降低傳播之電磁(EM)波之波長,並且選擇慢波板1444和共振器板1450的尺寸,以確保形成有效地輻射EM能量進入電漿處理區域545(見圖11)之駐波。
可由包含例如石英(二氧化矽)之含矽材料、或高介電常數(高k)材料之介電材料製造慢波板1444和共振器板1450。舉例來說,高k材料可具有大於4的介電常數。特別是,當使用電漿處理系統於蝕刻製程應用時,為了與蝕刻製程的相容性,經常選擇石英。
舉例來說,高k材料可包含本徵晶體矽、氧化鋁陶瓷、鋁氮化物、及藍寶石。然而,亦可使用其他高k材料。此外,可根據特定製程的參數而選擇特定的高k材料。舉例來說,當由本徵晶體矽製造共振器板1450之時,電漿頻率於攝氏45度超過2.45 GHz。因此,本徵晶體矽適合低溫製程(即低於攝氏45度)。對於較高溫度製程,可由氧化鋁(Al2O3)或藍寶石製造共振器板1450。
對於上述SWP源的實際實作,電漿均勻性和電漿穩定性可能仍為挑戰。在後者中,在共振器板-電漿介面處(即電漿表面1460)的駐波,當電漿參數改變時,可能易於模態跳躍。
如圖14所示,根據一個實施例,可與形成於電漿表面1460之中的第一凹部構造1462及形成於電漿表面1460之中的選擇性的第二凹部構造1464一起製造EM波發射器1432。
第一凹部構造1462可包含一第一通道凹部。舉例來說,在第一凹部構造1462中的該第一通道凹部可包含具有平頂圓錐狀幾何形狀的剖面。然而,亦可使用其他幾何形狀,例如球狀幾何形狀、非球狀幾何形狀、矩形幾何形狀、錐狀幾何形狀、或任何任意形狀。第一凹部分布1462可包含以第一尺寸(例如:橫向尺寸(或寬度)、和/或縱向尺寸(或深度))為特徵的通道凹部。
第二凹部構造1464可包含一第二通道凹部。舉例來說,在第二凹部構造1464之中的第二通道凹部可包含具有平頂圓錐狀幾何形狀的剖面。然而,亦可使用其他幾何形狀,例如球狀幾何形狀、非球狀幾何形狀、矩形幾何形狀、錐狀幾何形狀、或任何任意形狀。第二凹部分布1464可包含以第二尺寸(例如:橫向尺寸(或寬度)、和/或縱向尺寸(或深度))為特徵的通道凹部。在第一凹部構造1462中第一通道凹部的第一尺寸可與在第二凹部構造1464中的第二通道凹部的第二尺寸相同或不相同。舉例來說,第二尺寸可大於第一尺寸。
如圖14所示,共振器板1450包含具有板直徑和板厚度的介電板。其中,在共振器板1450上的電漿表面1460包含平坦表面1466,第一凹部構造1462和第二凹部構造1464係形成於該平坦表面1466之內。或者是,共振器板1450包含非平坦幾何形狀或任意幾何形狀。其中,電漿表面1460可包含非平坦表面,該第一凹部構造和第二凹部構造係形成於該非平坦表面之內(未顯示)。舉例來說,非平坦表面可為凹面、凸面、或其組合。
第一和第二凹部構造(1462、1464)的配置和其與複數槽孔1448其中一者以上的對準可加以最佳化,以控制和/或增進電漿的均勻性和/或穩定性。關於電漿表面1460和EM波發射器1432的設計之其他細節,可見於待審查美國專利申請案序號第10/570,631號,名稱為”Plasma processing equipment”,其申請於西元2006年12月19日,且公開為美國專利申請案公開第2007/0113788A1號;其內容藉由參照全體引用於此處。
雖然以上僅詳細描述這個發明的若干實施例,熟習此技術者可輕易了解,在沒有實質上偏離本發明的新穎教示和優點的情況下,在這些實施例中許多修改是可能的。因此,所有此等修改應包含於本發明的範圍之內。
100‧‧‧元件結構
101‧‧‧元件結構
102‧‧‧元件結構
110‧‧‧基板
114‧‧‧介電層
115‧‧‧介電表面
116A、116B、116C‧‧‧擴散路徑
150A、150B、150C‧‧‧含金屬層
151A、151B、151C‧‧‧含金屬層
152A、152B、152C‧‧‧含金屬層
155A、155B、155C‧‧‧暴露金屬表面
200‧‧‧流程圖
210‧‧‧步驟
220‧‧‧步驟
230‧‧‧步驟
240‧‧‧步驟
400‧‧‧流程圖
410‧‧‧步驟
420‧‧‧步驟
430‧‧‧步驟
440‧‧‧步驟
450‧‧‧步驟
500‧‧‧電漿處理系統
510‧‧‧電漿處理腔室
520‧‧‧基板固持器
522‧‧‧電極
525‧‧‧基板
526‧‧‧背部氣體供給系統
528‧‧‧夾持系統
530‧‧‧RF產生器
531‧‧‧脈衝偏壓訊號控制器
532‧‧‧阻抗匹配網路
540‧‧‧氣體分配系統
545‧‧‧電漿處理區域
550‧‧‧真空泵系統
555‧‧‧控制器
600‧‧‧電漿處理系統
660‧‧‧磁場系統
700‧‧‧電漿處理系統
770‧‧‧上電極
772‧‧‧RF產生器
774‧‧‧阻抗匹配網路
800‧‧‧電漿處理系統
890‧‧‧直流電源
900‧‧‧電漿處理系統
980‧‧‧感應線圈
982‧‧‧RF產生器
984‧‧‧阻抗匹配網路
1000‧‧‧電漿處理系統
1080‧‧‧感應線圈
1100‧‧‧電漿處理系統
1130‧‧‧表面波電漿源
1190‧‧‧功率耦合系統
1230‧‧‧SWP源
1232‧‧‧電磁(EM)波發射器
1238‧‧‧同軸饋入
1260‧‧‧電漿表面
1290‧‧‧功率耦合系統
1292‧‧‧微波源
1294‧‧‧波導
1296‧‧‧隔離器
1298‧‧‧同軸轉換器
1332‧‧‧EM波發射器
1338‧‧‧同軸饋入
1340‧‧‧內導體
1341‧‧‧隔離器
1342‧‧‧外導體
1344‧‧‧慢波板
1346‧‧‧槽孔天線
1348‧‧‧槽孔
1350‧‧‧共振器板
1352‧‧‧腔室壁
1354‧‧‧密封裝置
1356‧‧‧流體通道
1360‧‧‧電漿表面
1362‧‧‧第一凹部構造
1364‧‧‧第二凹部構造
1366‧‧‧表面
1432‧‧‧EM波發射器
1438‧‧‧同軸饋入
1440‧‧‧內導體
1441‧‧‧隔離器
1442‧‧‧外導體
1444‧‧‧慢波板
1446‧‧‧槽孔天線
1448‧‧‧槽孔
1450‧‧‧共振器板
1452‧‧‧腔室壁
1454‧‧‧密封裝置
1456‧‧‧流體通道
1460‧‧‧電漿表面
1462‧‧‧第一凹部構造
1464‧‧‧第二凹部構造
1466‧‧‧表面
在隨附的圖式中: 圖1A到1C係在基板上形成元件結構的各種方法的示意圖;圖2係流程圖,描述根據一個實施例之在基板上含金屬層的蝕刻方法;圖3A到3C係根據其他實施例之基板上含金屬層的蝕刻程序的示意圖;圖4係流程圖,描述根據另一實施例之基板上含金屬層的蝕刻方法;圖5顯示根據一個實施例之電漿處理系統的示意圖;圖6顯示根據另一實施例之電漿處理系統的示意圖;圖7顯示根據另一實施例之電漿處理系統的示意圖;圖8顯示根據另一實施例之電漿處理系統的示意圖;圖9顯示根據另一實施例之電漿處理系統的示意圖;圖10顯示根據另一實施例之電漿處理系統的示意圖;圖11顯示根據另一實施例之電漿處理系統的示意圖;圖12顯示根據一個實施例之電漿源的橫剖面圖;圖13A和13B係根據另一實施例之電漿源的橫剖面圖和仰視圖;及圖14係根據又另一實施例之電漿源的橫剖面圖。
200‧‧‧流程圖
210‧‧‧步驟
220‧‧‧步驟
230‧‧‧步驟
240‧‧‧步驟

Claims (20)

  1. 一種基板上含金屬層的蝕刻方法,包含:將具有含鋁層形成於其上的一基板,配置於一電漿處理系統之中;由含鹵素元素之製程成分形成電漿;將該基板暴露於該電漿,以蝕刻該含鋁層;及將該基板暴露於含氧環境,以氧化該含鋁層的表面,且控制該含鋁層的蝕刻率。
  2. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該含鋁層係鋁或鋁氧化物(AlOx)。
  3. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該製程成分包含含氟氣體、含氯氣體、含溴氣體、氫鹵化物氣體、或鹵化甲烷氣體、或其二者以上的任意組合。
  4. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該製程成分包含Br和選自由C、H、F、及Cl所組成的群組的一個以上元素。
  5. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該製程成分包含C和選自由H、F、Cl、Br、及I所組成的群組的一個以上元素。
  6. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該製程成分更包含具有化學式CxHyRz的添加氣體,其中R係鹵素元素,x和y等於或大於一,且z等於或大於零。
  7. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該製程成分包含HBr及具有化學式CxHyFz的添加氣體,其中x、y、 及z等於或大於一。
  8. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該製程成分包含HBr、CH3F、及選擇性的Ar。
  9. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該含氧環境包含O、O2、O3、CO、CO2、NO、N2O、或NO2、或其二者以上的任何組合。
  10. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該含氧環境包含含氧電漿。
  11. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,更包含:同時執行該將該基板暴露於該電漿的步驟及該將該基板暴露於該含氧環境的步驟。
  12. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,更包含:依序執行該將該基板暴露於該電漿的步驟及該將該基板暴露於該含氧環境的步驟。
  13. 如申請專利範圍第12項的基板上含金屬層的蝕刻方法,其中該依序執行包含將該基板暴露於該含氧環境,且之後將該基板暴露於該電漿。
  14. 如申請專利範圍第13項的基板上含金屬層的蝕刻方法,更包含:交替地執行該將該基板暴露於該電漿的步驟及該將該基板暴露於該含氧環境的步驟一個以上暴露循環。
  15. 如申請專利範圍第14項的基板上含金屬層的蝕刻方法,更包含:在該將該基板暴露於該電漿的步驟及該將該基板暴露於該含氧環境的步驟之間,沖洗該電漿處理系統。
  16. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,更包含:藉由耦合射頻(RF)功率至支撐該基板的一基板固持器,施加電偏壓於該基板;及藉由調整該電偏壓的RF功率位準,達到在該基板上所形成之該含鋁層和含Si及O層之間的目標蝕刻選擇性。
  17. 如申請專利範圍第1項的基板上含金屬層的蝕刻方法,其中該形成電漿的步驟包含藉由在鄰接該電漿的一電磁(EM)波發射器的電漿表面上產生一表面波,耦合於微波頻率在所欲的電磁波模態的電磁能量至該電漿,該EM波發射器包含一槽孔天線,該槽孔天線具有複數槽孔穿過其中而形成,建構成用以由該槽孔天線之上的一第一區域耦合該電磁能量至在該槽孔天線之下的一第二區域。
  18. 一種基板上含金屬層的蝕刻方法,包含:將具有含鋁層、和含Si及O層皆形成於其上的一基板配置於一電漿處理系統之中;由含鹵素元素的製程成分形成電漿;藉由耦合射頻(RF)功率至支撐該基板的一基板固持器,施加電偏壓至該基板;將該基板暴露於該電漿,以蝕刻該含鋁層;及藉由調整該電偏壓的RF功率位準,達到該基板上所形成該含鋁層和該含Si及O層之間的目標蝕刻選擇性。
  19. 如申請專利範圍第18項的基板上含金屬層的蝕刻方法,更包含:將該基板暴露於含氧環境,以氧化該含氧層的表面且控制該含鋁層的蝕刻率。
  20. 一種基板上含金屬層的蝕刻方法,包含:將具有含鋁層形成於其上的一基板,配置於一電漿處理系統之中;由包含HBr和具有化學式CxHyRz的添加氣體的製程成分,形成第一電漿,其中R係一鹵素元素,x和y等於或大於一,且z等於或大於零;將該基板暴露於該第一電漿,以蝕刻該含鋁層;由包含HBr的第二製程成分,形成第二電漿;及將該基板暴露於該第二電漿,以蝕刻該含鋁層。
TW101120902A 2011-09-12 2012-06-11 乾式金屬蝕刻方法 TWI478235B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/230,721 US8808562B2 (en) 2011-09-12 2011-09-12 Dry metal etching method

Publications (2)

Publication Number Publication Date
TW201314767A true TW201314767A (zh) 2013-04-01
TWI478235B TWI478235B (zh) 2015-03-21

Family

ID=47830217

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101120902A TWI478235B (zh) 2011-09-12 2012-06-11 乾式金屬蝕刻方法

Country Status (4)

Country Link
US (1) US8808562B2 (zh)
KR (1) KR102054017B1 (zh)
CN (1) CN103000511B (zh)
TW (1) TWI478235B (zh)

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) * 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102334378B1 (ko) * 2015-09-23 2021-12-02 삼성전자 주식회사 유전체 윈도우, 그 윈도우를 포함한 플라즈마 공정 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10340123B2 (en) * 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7090521B2 (ja) * 2018-09-26 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
DE102018124576A1 (de) * 2018-10-05 2020-04-09 Osram Opto Semiconductors Gmbh Verfahren zur herstellung eines halbleiterbauelements mit durchführung einer plasmabehandlung und halbleiterbauelement
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL188432C (nl) * 1980-12-26 1992-06-16 Nippon Telegraph & Telephone Werkwijze voor het vervaardigen van een mosfet.
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
US5994235A (en) 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
ATE533178T1 (de) * 1998-09-09 2011-11-15 Texas Instruments Inc Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren
JP2003229412A (ja) * 2002-02-04 2003-08-15 Matsushita Electric Ind Co Ltd ドライエッチング方法および半導体素子
WO2004109773A2 (en) 2003-05-30 2004-12-16 Tokyo Electron Limited Method and system for heating a substrate using a plasma
JP2006120983A (ja) * 2004-10-25 2006-05-11 Hitachi High-Technologies Corp プラズマエッチング方法
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
JP4554461B2 (ja) * 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US7964512B2 (en) 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP2009302181A (ja) 2008-06-11 2009-12-24 Tokyo Electron Ltd プラズマエッチング処理方法およびプラズマエッチング処理装置
JP2010009779A (ja) * 2008-06-24 2010-01-14 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法及び有機電子デバイス
WO2010134176A1 (ja) * 2009-05-20 2010-11-25 株式会社 東芝 凹凸パターン形成方法

Also Published As

Publication number Publication date
KR102054017B1 (ko) 2019-12-09
CN103000511A (zh) 2013-03-27
US8808562B2 (en) 2014-08-19
KR20130028873A (ko) 2013-03-20
TWI478235B (zh) 2015-03-21
CN103000511B (zh) 2016-06-01
US20130065398A1 (en) 2013-03-14

Similar Documents

Publication Publication Date Title
TWI478235B (zh) 乾式金屬蝕刻方法
TWI524419B (zh) 具有減小的側壁間隔物薄型化之高選擇性間隔物蝕刻程序
TWI596654B (zh) 用以增加自對準圖案化整合架構中之圖案密度的方法
TWI596655B (zh) 用以達成次10奈米圖案化之材料處理
KR102510737B1 (ko) 원자층 에칭 방법
TWI679674B (zh) 蝕刻基板的方法
KR101600738B1 (ko) 에칭 중에 로우-k 게이트 스페이서로의 손상을 감소시키기 위한 방법
JP2016143890A5 (zh)
US9171736B2 (en) Spacer material modification to improve K-value and etch properties
JP2519364B2 (ja) Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
TWI514467B (zh) 形成間隔物側壁上之含SiOCl的層以預防間隔物蝕刻時之臨界尺寸損失
WO2013046050A2 (en) Dry cleaning method for recovering etch process condition
US9111727B2 (en) Plasma tuning rods in microwave resonator plasma sources
TW201842532A (zh) 有機材料的自我限制蝕刻之實行程序
CN107690694B (zh) 通过溢料添加进行部分蚀刻记忆