JP2012253056A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2012253056A
JP2012253056A JP2011122124A JP2011122124A JP2012253056A JP 2012253056 A JP2012253056 A JP 2012253056A JP 2011122124 A JP2011122124 A JP 2011122124A JP 2011122124 A JP2011122124 A JP 2011122124A JP 2012253056 A JP2012253056 A JP 2012253056A
Authority
JP
Japan
Prior art keywords
etching
film
silicon
mask
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011122124A
Other languages
English (en)
Inventor
Toshiyuki Sasaki
俊行 佐々木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2011122124A priority Critical patent/JP2012253056A/ja
Priority to US13/311,199 priority patent/US20120309202A1/en
Publication of JP2012253056A publication Critical patent/JP2012253056A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Mram Or Spin Memory Techniques (AREA)

Abstract

【課題】トレンチを均一に形成することができる半導体装置の製造方法を提供する。
【解決手段】実施形態に係る半導体装置の製造方法は、第1の材料からなる第1部分及び前記第1の材料とは異なる第2の材料からなる第2部分を含む被加工基材上に、前記第1部分の直上域に配置され第3の材料からなる第3部分及び前記第2部分の直上域に配置され前記第3の材料とは異なる第4の材料からなる第4部分を含み、前記第3部分及び前記第4部分の双方に開口部が形成されたマスク膜を形成する工程と、前記マスク膜をマスクとして、前記第4の材料のエッチングレートが前記第3の材料のエッチングレートよりも高く、前記第1の材料のエッチングレートが前記第2の材料のエッチングレートよりも高くなるような条件でエッチングを施すことにより、前記第1部分及び前記第2部分をそれぞれ選択的に除去する工程と、を備える。
【選択図】図1

Description

本発明の実施形態は、半導体装置の製造方法に関する。
近年、MOSFET(Metal-Oxide-Semiconductor Field-Effect Transistor:金属酸化物半導体電界効果トランジスタ)において、ソース・ドレイン間のリーク電流を抑制しつつ、微細化を図り、オン電流を増加させるために、ゲート電極の下部をシリコン基板の内部に埋め込んだリセス型トランジスタ(Recessed Channel Transistor:RCAT)が提案されている。
RCATを製造する際には、シリコン基板の上部に複数本の素子分離絶縁体(shallow trench isolation:STI)をストライプ状に形成し、STI間の部分をアクティブエリア(AA)とする。これにより、それぞれ複数本のSTI及びAAが交互に配列される。そして、エッチングにより、STI及びAAの上部に、STI及びAAの配列方向に延びるトレンチを形成する。その後、このトレンチの内面上にゲート絶縁膜を形成し、このトレンチの内部及び上方にゲート電極を形成する。このとき、STI及びAAにトレンチが均一に形成されないと、ゲート電極の形状が不均一になり、RCATの特性が低下してしまう。
特開2009−59770号公報
本発明の目的は、トレンチを均一に形成することができる半導体装置の製造方法を提供することである。
実施形態に係る半導体装置の製造方法は、第1の材料からなる第1部分及び前記第1の材料とは異なる第2の材料からなる第2部分を含む被加工基材上に、前記第1部分の直上域に配置され第3の材料からなる第3部分及び前記第2部分の直上域に配置され前記第3の材料とは異なる第4の材料からなる第4部分を含み、前記第3部分及び前記第4部分の双方に開口部が形成されたマスク膜を形成する工程と、前記マスク膜をマスクとして、前記第4の材料のエッチングレートが前記第3の材料のエッチングレートよりも高く、前記第1の材料のエッチングレートが前記第2の材料のエッチングレートよりも高くなるような条件でエッチングを施すことにより、前記第1部分及び前記第2部分をそれぞれ選択的に除去する工程と、を備える。
第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第1の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 (a)〜(c)は、第1の比較例に係る半導体装置の製造方法を例示する工程断面図である。 (a)〜(c)は、第2の比較例に係る半導体装置の製造方法を例示する工程断面図である。 (a)〜(c)は、第3の比較例に係る半導体装置の製造方法を例示する工程断面図である。 第2の実施形態に係る半導体装置の製造方法を例示する斜視断面図である。 第2の実施形態に係る半導体装置の製造方法を例示する断面図である。
以下、図面を参照しつつ、本発明の実施形態について説明する。
先ず、第1の実施形態について説明する。
本実施形態は、リセス型トランジスタが設けられた半導体装置の製造方法であり、例えば、MRAM(Magneto resistive Random Access Memory:磁気抵抗ランダムアクセスメモリ)の製造方法である。
図1〜図14は、本実施形態に係る半導体装置の製造方法を例示する斜視断面図である。
先ず、図1に示すように、半導体基板、例えば、単結晶シリコンからなるシリコン基板10を用意する。以下、シリコン基板10の上面10aに対して平行な方向のうち、相互に直交する2方向を、「AA方向」及び「ゲート方向」という。また、シリコン基板10の上面に対して垂直な方向を「上下方向」という。
そして、シリコン基板10の上面10aに、AA方向にライン状に延びる複数本のトレンチ11を形成する。トレンチ11はゲート方向に沿って周期的に配列させる。トレンチ11の形状は、下面の幅が上面の幅よりも狭い逆テーパ形状である。次に、トレンチ11内にシリコン酸化物を埋め込んで、素子分離絶縁体STIを形成する。シリコン基板10の上部における素子分離絶縁体STIによって区画された部分は、単結晶シリコンからなるアクティブエリアAAとなる。アクティブエリアAA及び素子分離絶縁体STIの形状はAA方向に延びるストライプ状であり、アクティブエリアAA及び素子分離絶縁体STIはゲート方向に沿って交互に配列されている。以後、アクティブエリアAA及び素子分離絶縁体STIが形成されたシリコン基板10を、被加工基材13という。
次に、図2に示すように、被加工基材13上の全面に、シリコン酸化物からなる犠牲膜14を形成し、その上に、シリコン窒化物からなるストッパ膜15を形成する。次に、ストッパ膜15上の全面に、アモルファスシリコン膜21、反射防止膜22及びフォトレジスト膜23をこの順に形成する。
次に、図3に示すように、リソグラフィ法によりフォトレジスト膜23を加工して、アクティブエリアAAの直上域に開口部23aを形成する。開口部23aの形状は、AA方向に延びる溝状である。これにより、フォトレジスト膜23がパターニングされたマスクパターン23bが形成される。次に、マスクパターン23bをマスクとし、ストッパ膜15をエッチングストッパとして、エッチングを施す。これにより、反射防止膜22及びアモルファスシリコン膜21が選択的に除去される。その後、アッシングを行い、マスクパターン23b及び反射防止膜22を除去する。
この結果、図4に示すように、アモルファスシリコン膜21(図3参照)がAA方向に延びるストライプ状に加工されて、アモルファスシリコンからなるシリコン部分21aが形成される。シリコン部分21aは、素子分離絶縁体STIの直上域に配置される。
次に、図5に示すように、例えば、TEOS(tetraethoxysilane:Si(OC2H5)4)を原料としたCVD(chemical vapor deposition:化学気相成長)法により、全面にシリコン酸化物を堆積させて、シリコン部分21aを覆うように、シリコン酸化膜25を形成する。シリコン酸化膜25は、シリコン部分21a間に埋め込まれると共に、シリコン部分21a上にも形成される。次に、シリコン酸化膜25の上面に対して、例えばCMP(chemical mechanical polishing:化学的機械研磨)等の平坦化処理を施し、シリコン酸化膜25の上部を除去する。
これにより、図6に示すように、シリコン部分21aの直上域からシリコン酸化膜25が除去される。また、シリコン部分21aの側方、すなわち、シリコン部分21a間にシリコン酸化膜25が残留することにより、シリコン酸化物からなる酸化部分25aが形成される。酸化部分25aは、アクティブエリアAAの直上域に配置される。このようにして、シリコン部分21a及び酸化部分25aが交互に配列された複合膜26が形成される。
次に、図7に示すように、複合膜26上の全面に、有機膜31、シリコン酸化膜32及びフォトレジスト膜33をこの順に形成する。
次に、図8に示すように、リソグラフィ法によりフォトレジスト膜33を加工して、ゲート方向に延びる溝状の開口部33aを形成する。開口部33aは、リセス構造のチャネル領域が形成される予定の領域に形成する。これにより、フォトレジスト膜33がパターニングされたマスクパターン33bが形成される。次に、マスクパターン33bをマスクとしてエッチングを施し、シリコン酸化膜32及び有機膜31を選択的に除去する。
これにより、図9に示すように、有機膜31及びシリコン酸化膜32からなり、ゲート方向に延びる開口部34aが形成されたマスクパターン34bが形成される。このとき、開口部34aの底には、交互に配列されたシリコン部分21a及び酸化部分25aが露出する。
次に、マスクパターン34bをマスクとし、ストッパ膜15をエッチングストッパとして、複合膜26に対してエッチングを施す。具体的には、アモルファスシリコンからなるシリコン部分21aに対して、シリコン窒化物からなるストッパ膜15との間でエッチング選択比がとれるような最適な条件でエッチングを施す。例えば、エッチングガスとして、臭化水素(HBr)及び酸素(O)の混合ガスを使用する。このとき、十分にオーバーエッチングをかけることにより、開口部34aの直下域において、シリコン部分21aが残留しないようにする。
また、シリコン酸化物からなる酸化部分25aに対して、シリコン窒化物からなるストッパ膜15との間でエッチング選択比がとれるような最適な条件でエッチングを施す。例えば、エッチングガスとして、オクタフルオロシクロブタン(C)、酸素(O)及びアルゴン(Ar)の混合ガスを使用する。又は、エッチングガスとして、ヘキサフルオロ1,3ブタジエン(C)、酸素(O)及びアルゴン(Ar)の混合ガスを使用する。このとき、十分にオーバーエッチングをかけることにより、開口部34aの直下域において、酸化部分25aが残留しないようにする。なお、シリコン部分21aに対するエッチングと、酸化部分25aに対するエッチングの順序は任意である。
このように、複合膜26に対するエッチングにおいては、ストッパ膜15をエッチングストッパとして利用できるため、シリコン部分21a及び酸化部分25aをそれぞれ独立にエッチングすることができる。このため、各部分に対して最適な条件でエッチングを施すことができる。また、ストッパ膜15においてエッチングを確実に停止させることができるため、シリコン部分21a及び酸化部分25aに対して十分なオーバーエッチングをかけることができ、各部分の形状を精度良く制御することができる。
この結果、図10に示すように、被加工基材13上に、複合膜26にゲート方向に延びる開口部26aが形成されたマスク膜26bが形成される。マスク膜26bにおいては、アクティブエリアAAの直上域に配置されシリコン酸化物からなる酸化部分25a、及び素子分離絶縁体STIの直上域に配置されアモルファスシリコンからなるシリコン部分21aを含み、酸化部分25a及びシリコン部分21aの双方に開口部26aが形成されている。
次に、マスク膜26bをマスクとしてエッチングを行い、マスク膜15及び犠牲膜14を除去する。次に、マスク膜26bをマスクとして、アクティブエリアAA及び素子分離絶縁体STIに対して、RIE(reactive ion etching:反応性イオンエッチング)等の異方性エッチングを施す。このエッチングは、アクティブエリアAAの断面形状の制御に都合の良い条件で行う。すなわち、シリコンのエッチングに適合した条件でエッチングを施す。このようなエッチングにおいては、シリコンのエッチングレートがシリコン酸化物のエッチングレートよりも高くなる。なお、「シリコン」には、「アモルファスシリコン」、「単結晶シリコン」及び「多結晶シリコン」が含まれる。例えば、エッチングガスとして、フッ素を含むガス、例えば四フッ化メタン(CF)ガスに、臭化水素(HBr)若しくは塩素(Cl)等のハロゲンを含むガス、又は、酸素(O)若しくは窒素(N)等の側壁保護効果があるガスを添加した混合ガスを使用する。
これにより、図11に示すように、エッチングの開始時においては、単結晶シリコンからなるアクティブエリアAAのエッチングレートが、シリコン酸化物からなる素子分離絶縁体STIのエッチングレートよりも高くなり、マスク膜26bの開口部26aの直下域において、アクティブエリアAAの上面が素子分離絶縁体STIの上面よりも低くなる。一方、マスク膜26bにおいては、アモルファスシリコンからなるシリコン部分21aのエッチングレートが、シリコン酸化物からなる酸化部分25aのエッチングレートよりも高くなり、シリコン部分21aの上面が酸化部分25aの上面よりも低くなる。
この結果、上下方向において、酸化部分25aの上面とアクティブエリアAAの上面との間の距離は、シリコン部分21aの上面と素子分離絶縁体STIの上面の間の距離よりも長くなる。このため、マスク膜26bの開口部26a及び被加工基材13におけるエッチングされた部分によって形成される空間(以下、「マスク空間」という)は、アクティブエリアAAの直上域においては上下方向の長さが相対的に長くなり、素子分離絶縁体STIの直上域においては上下方向の長さが相対的に短くなる。すなわち、アクティブエリアAAの直上域におけるマスク空間のアスペクト比は、素子分離絶縁体STIの直上域におけるマスク空間のアスペクト比よりも高くなる。
マスク空間のアスペクト比が高いと、マスク空間の底面、すなわち、エッチング加工面に到達するイオン及びラジカルの数が減少し、エッチングレートが低下する。このため、エッチングが進行すると、アクティブエリアAAのエッチングレートは、エッチング開始時よりも低下する。一方、素子分離絶縁体STIのエッチングレートは、アクティブエリアAAのエッチングレートほどは低下しない。このように、被加工基材13をエッチングする際には、シリコンのエッチングレートがシリコン酸化物のエッチングレートよりも高くなるような条件でエッチングを行い、これ自体は、アクティブエリアAAのエッチングレートが素子分離絶縁体STIのエッチングレートよりも高くなるように作用する。しかしながら、上述のマスク空間のアスペクト比による影響、所謂マイクロローディング効果は、アクティブエリアAAのエッチングレートが素子分離絶縁体STIのエッチングレートよりも低くなるように作用する。
この結果、図12に示すように、エッチングの終了時においては、エッチングの開始時と比較して、開口部26aの直下域において、アクティブエリアAAの上面の高さと素子分離絶縁体STIの上面の高さが近づく。すなわち、被加工基材13をエッチングする際に、エッチング条件をアクティブエリアAAの断面形状の制御に都合の良い条件とすると、必然的にシリコンのエッチングレートがシリコン酸化物のエッチングレートよりも高くなるが、本実施形態のように、マスク膜26bを複合膜とすることで、このエッチングレートの差が緩和され、アクティブエリアAAのエッチングレートと素子分離絶縁体STIのエッチングレートが近づいてくる。この結果、アクティブエリアAAのエッチング面の高さと、素子分離絶縁体STIのエッチング面の高さが、揃ってくる。これにより、アクティブエリアAAの形状を制御しつつ、同時に、素子分離絶縁体STIを確実にエッチングすることができる。
アクティブエリアAA及び素子分離絶縁体STIに対するエッチングが終了したら、犠牲膜14を剥離することにより、ストッパ膜15と共に、マスク膜26bの残留部分を除去する。
これにより、図13に示すように、被加工基材13に、ゲート方向に延びるトレンチ41が複数本形成される。
次に、図14に示すように、例えば熱酸化処理を施し、アクティブエリアAAの露出面上にゲート絶縁膜42を形成する。次に、全面に不純物を導入したポリシリコンを堆積させて、ポリシリコン膜45を形成する。ポリシリコン膜45は、トレンチ41内に埋め込まれると共に、被加工基材13上にも配置される。次に、ポリシリコン膜45上に、タングステン膜46、シリコン窒化膜47及びレジスト膜(図示せず)をこの順に形成する。
次に、リソグラフィ法によりレジスト膜をパターニングし、トレンチ41の直上域のみに残留させる。次に、エッチングを行うことにより、レジスト膜のパターンを、シリコン窒化膜47、タングステン膜46及びポリシリコン膜45に順次転写する。このエッチング工程において、レジスト膜は消失する。これにより、ポリシリコン膜45及びタングステン膜46がトレンチ41の内部及び直上域のみに残留し、ゲート電極48となる。ゲート電極48は、ゲート方向に延びるストライプ状に形成される。次に、ゲート電極48をマスクとして、リン等の不純物をアクティブエリアAAの最上層部分にイオン注入する。これにより、アクティブエリアAAにおけるゲート電極48の側面上に、ソース・ドレイン領域49が形成される。その後、通常の方法により、上部配線構造(図示せず)を形成する。このようにして、リセス型トランジスタを含む半導体装置50が製造される。
次に、本実施形態の作用効果について説明する。
図11及び図12に示すアクティブエリアAA及び素子分離絶縁体STIのエッチングにおいては、図9に示す混合膜26のエッチングとは異なり、ストッパ膜を利用することができない。このため、アクティブエリアAA及び素子分離絶縁体STIのうちの一方を先にオーバーエッチングして十分に除去した後、他方をオーバーエッチングして十分に除去することができない。従って、アクティブエリアAAのオーバーエッチングを防止しつつ、素子分離絶縁体STIを十分にエッチングする必要がある。
そこで、本実施形態においては、図10に示すように、アクティブエリアAA及び素子分離絶縁体STIを含む被加工基材13上に、シリコン部分21a及び酸化部分25aを含むマスク膜26bを形成する。そして、マスク膜26bをマスクとしてエッチングを施し、アクティブエリアAA及び素子分離絶縁体STIを加工している。これにより、図11及び図12に示すように、本来エッチングレートが高いシリコンからなるアクティブエリアAAにおいて、マスク空間のアスペクト比が高くなることによりエッチングが抑制される。この結果、上下方向において、アクティブエリアAAの上面の位置と素子分離絶縁体STIの上面の位置とが近くなり、トレンチ41をゲート方向において均一に形成することができる。これにより、形状が均一なゲート電極48を形成することができ、リセス型トランジスタの特性を良好にすることができる。
以下、本実施形態の作用効果を、比較例と比較して説明する。
以下に説明する各比較例においては、アクティブエリアAA及び素子分離絶縁体STIをエッチングする際に、組成が一様なマスク膜(図示せず)を使用する。すなわち、このマスク膜におけるアクティブエリアAAの直上域に位置する部分の組成と、素子分離絶縁体STIの直上域に位置する部分の組成は、相互に同一であり、例えば、アモルファスシリコンによって形成されている。
先ず、第1の比較例について説明する。
図15(a)〜(c)は、本比較例に係る半導体装置の製造方法を例示する工程断面図である。
図15(a)に示すように、本比較例においては、シリコンのエッチングに適した条件で、アクティブエリアAAを先にエッチングする。しかしながら、このとき、素子分離絶縁体STIの形状は逆テーパ形状になっているため、上方から見て、素子分離絶縁体STIの影になる部分はエッチングが遅れ、フェンス状の突起部分101が残留してしまう。なお、仮に、アクティブエリアAAの下方にストッパ膜が存在していれば、アクティブエリアAAに対して十分にオーバーエッチングをかけて突起部分101を除去することも可能であるが、実際にはアクティブエリアAAの下方にストッパ膜は存在しないため、突起部分101を除去することは困難である。
次に、図15(b)に示すように、シリコン酸化物のエッチングに適した条件で、素子分離絶縁体STIをエッチングする。この場合、シリコン酸化物からなる素子分離絶縁体STIは除去されるものの、シリコンからなる突起部分101はほとんど除去されず、トレンチ41の底面から起立した状態で残留してしまう。
次に、図15(c)に示すように、ポリシリコン等を堆積させて、ゲート電極48を形成する。このとき、突起部分101はゲート電極48に食い込んだ状態で残ってしまう。この結果、半導体装置の完成後、リセス型トランジスタを駆動したときに、突起部分101の先端部101aにおいて電界が集中してしまい、リセス型トランジスタがオンしやすくなってしまう。これにより、半導体装置の特性が低下する。
次に、第2の比較例について説明する。
図16(a)〜(c)は、本比較例に係る半導体装置の製造方法を例示する工程断面図である。
図16(a)に示すように、本比較例においては、シリコン酸化物のエッチングに適した条件で、素子分離絶縁体STIを先にエッチングする。しかしながら、シリコン酸化物を垂直にエッチングすることは困難であるため、未加工のアクティブエリアAAの側面上にシリコン酸化物が残留し、フェンス状の突起部分102が形成されてしまう場合がある。本比較例においても、素子分離絶縁体STIの下方にストッパ膜は存在しないため、オーバーエッチングにより突起部分102を除去することは困難である。
次に、図16(b)に示すように、シリコンのエッチングに適した条件で、アクティブエリアAAをエッチングする。このとき、シリコン酸化物からな突起部分102は除去されず、トレンチ41の底面から起立した状態で残留してしまう。
そして、図16(c)に示すように、ゲート電極48を形成したときに、ゲート電極48に突起部分102が食い込んでしまう。この結果、例えば、突起部分102の根本部分102aにおいて電界が集中してしまい、半導体装置の特性が低下する。
次に、第3の比較例について説明する。
図17(a)〜(c)は、本比較例に係る半導体装置の製造方法を例示する工程断面図である。
図17(a)に示すように、本比較例においては、前述の第2の比較例と同様に素子分離絶縁体STIを先にエッチングするが、第2の比較例よりも高い加速エネルギーでエッチングを施す。これにより、突起部分102(図16(a)参照)の形成は防止できるものの、アクティブエリアAAの角部がエッチングされて、肩落ちしてしまう。この結果、アクティブエリアAAの幅方向中央部に、上方に突出した突出部分103が形成される。
次に、図17(b)に示すように、アクティブエリアAAをエッチングしても、突出部分103は残留してしまう。
図17(c)に示すように、この状態でゲート電極48を形成すると、突起部分103がゲート電極48内に埋めこまれる。これにより、デート電極48に電圧を印加したときに、突起部分103に電界が集中してしまい、半導体装置の特性が低下する。
また、前述の第1〜第3の比較例において説明した状況を回避するために、シリコンのエッチングレートとシリコン酸化物のエッチングレートとがほぼ等しくなるような条件で、エッチングを行うことも可能である。しかしながら、この場合は、エッチングガスの種類、イオンの加速電圧等のプロセス条件が著しく限定されてしまう。一方で、リセス型トランジスタにおいては、ゲート電極の断面形状、例えば、寸法及び側面のテーパ角等がトランジスタの特性に大きな影響を及ぼすため、トレンチ41の断面形状も精度良く制御する必要がある。このため、シリコンのエッチングレートとシリコン酸化物のエッチングレートがほぼ等しくなるという極めて限定された条件の中で、トレンチ41の形状制御を行う必要が生じるため、プロセスの難易度が極めて高くなってしまう。
例えば、シリコンのエッチングレートとシリコン酸化物のエッチングレートを等しくする場合には、エッチングガスとして四フッ化メタンガス(CF)を用いることができるが、四フッ化メタンガスのみを用いてアクティブエリアAAのエッチング形状を高精度に制御することは困難である。そこで、例えば、一般的にシリコンのエッチングに用いられる臭化水素(HBr)又は塩素(Cl)といった他のハロゲンガスを併せて用いる必要がある。ところが、このようなハロゲンガスをエッチングガスに混合させた途端に、シリコン酸化物のエッチングレートが低下し、シリコンのエッチングレートとのバランスが崩れてしまう。
これに対して、第1の実施形態によれば、複合構造のマスク膜26bを用いてエッチングを行うことにより、アクティブエリアAAのエッチングに適した条件でエッチングを行っても、マイクロローディング効果により、素子分離絶縁体STIも全体的に高いエッチングレートでエッチングすることができる。この結果、アクティブエリアAA及び素子分離絶縁体STIを同時にエッチングすることができ、これにより、形状が均一なトレンチ41を形成することができる。この結果、特性が良好なリセス型トランジスタを含む半導体装置を製造することができる。
なお、第1の実施形態においては、被加工基材13上に設けたマスク膜26bにおいて、シリコンからなるアクティブエリアAAの直上域に、シリコン酸化物からなる酸化部分25aを配置し、シリコン酸化物からなる素子分離絶縁体STIの直上域に、シリコンからなるシリコン部分21aを配置した。しかしながら、本発明はこれに限定されない。被加工基材におけるエッチングレートが相対的に高い部分の直上域に、マスク膜におけるエッチングレートが相対的に低い部分を配置し、被加工基材におけるエッチングレートが相対的に低い部分の直上域に、マスク膜におけるエッチングレートが相対的に高い部分を配置すればよい。
例えば、マスク膜として、シリコンからなるシリコン部分とシリコン窒化物からなる窒化部分を含むマスク膜を使用してもよい。この場合は、シリコンのエッチングに適合させたエッチング条件においては、窒化部分の方がシリコン部分よりもエッチングレートが低いため、窒化部分を、被加工基材における相対的にエッチングレートが高い部分、例えば、アクティブエリアAAの直上域に配置する。
また、マスク膜として、シリコンからなるシリコン部分と金属からなる金属部分を含むマスク膜を使用してもよい。金属には、例えば、アルミニウム、チタン又はタンタル等を用いることができる。この場合は、シリコンのエッチングに適合させたエッチング条件においては、金属部分の方がシリコン部分よりもエッチングレートが低いため、金属部分を、被加工基材における相対的にエッチングレートが高い部分の直上域に配置する。
次に、第2の実施形態について説明する。
図18は、本実施形態に係る半導体装置の製造方法を例示する斜視断面図であり、
図19は、本実施形態に係る半導体装置の製造方法を例示する断面図である。
本実施形態においては、被加工基材13をエッチングするときのマスク膜として、アモルファスシリコンからなるシリコン部分と、炭素からなる炭素部分とが設けられたマスク膜を使用する。そして、アクティブエリアAAの直上域に、炭素部分を配置する。
先ず、前述の第1の実施形態と同様に、図1〜図4に示す工程を実施する。
次に、図5に示す工程において、前述の第1の実施形態においてはシリコン酸化膜25を形成したが、本実施形態においては、シリコン酸化膜25の替わりに、炭素からなる炭素膜を形成する。
そして、図6に示す工程と同様に、CMP等の平坦化処理を施すことにより、AA方向に延びるストライプ状であって、シリコンからなるシリコン部分21aと、AA方向に延びるストライプ状であって、炭素からなる炭素部分61a(図18参照)とが、ゲート方向に沿って交互に配列された複合膜62を形成する。
次に、図7〜図9に示す工程と同様な工程を実施して、複合膜62に、ゲート方向に延びるストライプ状の開口部62aを複数本形成する。このとき、炭素部分をエッチングするためのエッチングガスには、臭化水素ガス(HBr)又は塩素ガス(Cl)に、フッ素を含むガスを加えた混合ガスを使用する。
これにより、図18に示すように、被加工基材13上に、複合膜62にゲート方向に延びる開口部62aが形成されたマスク膜62bが形成される。マスク膜62bにおいては、アクティブエリアAAの直上域に配置され炭素からなる炭素部分61a、及び素子分離絶縁体STIの直上域に配置されアモルファスシリコンからなるシリコン部分21aを含み、炭素部分61a及びシリコン部分21aの双方に開口部62aが形成されている。
次に、マスク膜62bをマスクとして、アクティブエリアAA及び素子分離絶縁体STIに対して、RIE等の異方性エッチングを施す。このエッチングは、前述の第1の実施形態と同様に、アクティブエリアAAの断面形状の制御に都合の良い条件、すなわち、シリコンのエッチングに適合した条件で行う。
これにより、エッチングの開始時においては、単結晶シリコンからなるアクティブエリアAAのエッチングレートが、シリコン酸化物からなる素子分離絶縁体STIのエッチングレートよりも高くなり、マスク膜62bの開口部62aの直下域において、アクティブエリアAAの上面が素子分離絶縁体STIの上面よりも低くなる。
但し、図19に示すように、エッチングガスのイオン66によって炭素部分61aからスパッタされた炭素材料67が、アクティブエリアAAのエッチング面に堆積する。なお、堆積する物質は、炭素材料67を含む炭素の混合物又は化合物である場合もある。これにより、アクティブエリアAAのエッチングが阻害され、エッチングレートが低下する。この結果、前述の第1の実施形態と同様に、アクティブエリアAAのエッチングレートが素子分離絶縁体STIのエッチングレートに近づき、トレンチ41の底面において、アクティブエリアAAによって構成されている部分の高さと、素子分離絶縁体STIによって構成されている部分の高さとが、揃ってくる。本実施形態における上記以外の製造方法及び作用効果は、前述の第1の実施形態と同様である。
以上説明した実施形態によれば、トレンチを均一に形成することができる半導体装置の製造方法を実現することができる。
以上、本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明及びその等価物の範囲に含まれる。また、前述の各実施形態は、相互に組み合わせて実施することができる。
10:シリコン基板、10a:上面、11:トレンチ、13:被加工基材、14:犠牲膜、15:ストッパ膜、21:アモルファスシリコン膜、21a:シリコン部分、22:反射防止膜、23:フォトレジスト膜、23a:開口部、23b:マスクパターン、25:シリコン酸化膜、25a:酸化部分、26:複合膜、26a:開口部、26b:マスク膜、31:有機膜、32:シリコン酸化膜、33:フォトレジスト膜、33a:開口部、33b:マスクパターン、34a:開口部、34b:マスクパターン、41:トレンチ、42:ゲート絶縁膜、45:ポリシリコン膜、46:タングステン膜、47:シリコン窒化膜、48:ゲート電極、49:ソース・ドレイン領域、50:半導体装置、61a:炭素部分、62:複合膜、62a:開口部、62b:マスク膜、66:イオン、67:炭素材料、101:突起部分、101a:先端部、102:突起部分、102a:根本部分、103:突起部分、AA:アクティブエリア、STI:素子分離絶縁体

Claims (11)

  1. シリコンからなる第1部分及びシリコン酸化物からなる第2部分を含む被加工基材上に、前記第1部分の直上域に配置されシリコン酸化物からなる第3部分及び前記第2部分の直上域に配置されシリコンからなる第4部分を含み、前記第3部分及び前記第4部分の双方に開口部が形成されたマスク膜を形成する工程と、
    前記マスク膜をマスクとして、シリコンのエッチングレートがシリコン酸化物のエッチングレートよりも高くなるような条件でエッチングを施すことにより、前記第1部分及び前記第2部分をそれぞれ選択的に除去する工程と、
    を備えたことを特徴とする半導体装置の製造方法。
  2. 前記エッチングは、臭化水素、窒素、酸素及び塩素からなる群から選択された1種以上のガス、並びにフッ素を含むガスを含む混合ガスをエッチングガスとして行うことを特徴とする請求項1記載の半導体装置の製造方法。
  3. 第1の材料からなる第1部分及び前記第1の材料とは異なる第2の材料からなる第2部分を含む被加工基材上に、前記第1部分の直上域に配置され第3の材料からなる第3部分及び前記第2部分の直上域に配置され前記第3の材料とは異なる第4の材料からなる第4部分を含み、前記第3部分及び前記第4部分の双方に開口部が形成されたマスク膜を形成する工程と、
    前記マスク膜をマスクとして、前記第4の材料のエッチングレートが前記第3の材料のエッチングレートよりも高く、前記第1の材料のエッチングレートが前記第2の材料のエッチングレートよりも高くなるような条件でエッチングを施すことにより、前記第1部分及び前記第2部分をそれぞれ選択的に除去する工程と、
    を備えたことを特徴とする半導体装置の製造方法。
  4. 前記第4の材料は前記第1の材料と同じ材料とし、前記第3の材料は前記第2の材料と同じ材料とすることを特徴とする請求項3記載の半導体装置の製造方法。
  5. 前記第1の材料及び前記第4の材料はシリコンであり、前記第2の材料及び前記第3の材料はシリコン酸化物であることを特徴とする請求項4記載の半導体装置の製造方法。
  6. 前記エッチングは、臭化水素、窒素、酸素及び塩素からなる群から選択された1種以上のガス、並びにフッ素を含むガスを含む混合ガスをエッチングガスとして行うことを特徴とする請求項5記載の半導体装置の製造方法。
  7. 第1の材料からなる第1部分及び前記第1の材料とは異なる第2の材料からなる第2部分を含む被加工基材上に、前記第1部分の直上域に配置され第3の材料からなる第3部分及び前記第2部分の直上域に配置され前記第3の材料とは異なる第4の材料からなる第4部分を含み、前記第3部分及び前記第4部分の双方に開口部が形成されたマスク膜を形成する工程と、
    前記マスク膜をマスクとして、前記第1の材料のエッチングレートが前記第2の材料のエッチングレートよりも高くなるような条件でエッチングを施すことにより、前記第1部分及び前記第2部分をそれぞれ選択的に除去する工程と、
    を備え、
    前記選択的に除去する工程において、前記第3部分からエッチングされた材料が前記第1部分の表面に堆積することにより、前記第1部分のエッチングが抑制されることを特徴とする半導体装置の製造方法。
  8. 前記第1の材料及び前記第4の材料はシリコンであり、前記第2の材料はシリコン酸化物であり、前記第3の材料は炭素であることを特徴とする請求項7記載の半導体装置の製造方法。
  9. 前記エッチングは、臭化水素及び塩素からなる群から選択された1種以上のガス、並びにフッ素を含むガスを含む混合ガスをエッチングガスとして行うことを特徴とする請求項8記載の半導体装置の製造方法。
  10. 前記第1部分及び前記第2部分の形状は、前記被加工基材の上面に対して平行な方向に延びるストライプ状であり、
    前記第1部分及び前記第2部分は交互に配列されており、
    前記マスク膜の開口部は、前記第1部分及び前記第2部分の配列方向に延びていることを特徴とする請求項1〜9のいずれか1つに記載の半導体装置の製造方法。
  11. 前記マスク膜を形成する工程は、
    前記被加工基材上に、前記第4の材料からなる第4材料膜を形成する工程と、
    前記第4材料膜上に、前記第1部分の直上域に開口部が形成された第1マスクパターンを形成する工程と、
    前記第1マスクパターンをマスクとしてエッチングを施し、前記第4材料膜を選択的に除去することにより、前記第4部分を形成する工程と、
    前記第4部分を覆うように、前記第3の材料からなる第3材料膜を形成する工程と、
    前記第3材料膜の上部を除去することにより、前記第4部分の直上域から前記第3材料膜を除去すると共に、前記第4部分の側方に前記第3材料膜を残留させて第3部分を形成する工程と、
    前記第3部分上及び前記第4部分上に、前記第3部分の直上域及び前記第4部分の直上域の双方に開口部が形成された第2マスクパターンを形成する工程と、
    前記第2マスクパターンをマスクとしてエッチングを施すことにより、前記第3部分及び前記第4部分をそれぞれ選択的に除去する工程と、
    を有したことを特徴とする請求項1〜10のいずれか1つに記載の半導体装置の製造方法。
JP2011122124A 2011-05-31 2011-05-31 半導体装置の製造方法 Withdrawn JP2012253056A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2011122124A JP2012253056A (ja) 2011-05-31 2011-05-31 半導体装置の製造方法
US13/311,199 US20120309202A1 (en) 2011-05-31 2011-12-05 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011122124A JP2012253056A (ja) 2011-05-31 2011-05-31 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2012253056A true JP2012253056A (ja) 2012-12-20

Family

ID=47262006

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011122124A Withdrawn JP2012253056A (ja) 2011-05-31 2011-05-31 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US20120309202A1 (ja)
JP (1) JP2012253056A (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013258244A (ja) * 2012-06-12 2013-12-26 Tokyo Electron Ltd エッチング方法及びプラズマ処理装置
US9129823B2 (en) 2013-03-15 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon recess ETCH and epitaxial deposit for shallow trench isolation (STI)
US20150236248A1 (en) 2014-02-18 2015-08-20 Everspin Technologies, Inc. Top electrode etch in a magnetoresistive device and devices manufactured using same
US9466788B2 (en) * 2014-02-18 2016-10-11 Everspin Technologies, Inc. Top electrode etch in a magnetoresistive device and devices manufactured using same
JP6993982B2 (ja) * 2016-03-11 2022-02-04 インプリア・コーポレイション 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2680276B1 (fr) * 1991-08-05 1997-04-25 Matra Mhs Procede de controle du profil de gravure d'une couche d'un circuit integre.
KR100447263B1 (ko) * 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
US20080048340A1 (en) * 2006-03-06 2008-02-28 Samsung Electronics Co., Ltd. Semiconductor device having fine pattern wiring lines integrally formed with contact plug and method of manufacturing same
KR100791344B1 (ko) * 2006-08-29 2008-01-03 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법
US8907456B2 (en) * 2007-03-21 2014-12-09 Olambda, Inc. Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
KR100932334B1 (ko) * 2007-11-29 2009-12-16 주식회사 하이닉스반도체 반도체 소자의 하드 마스크 패턴 형성 방법

Also Published As

Publication number Publication date
US20120309202A1 (en) 2012-12-06

Similar Documents

Publication Publication Date Title
TWI668744B (zh) 半導體裝置及其形成方法
US8557662B2 (en) Method for fabricating side contact in semiconductor device using double trench process
US6323104B1 (en) Method of forming an integrated circuitry isolation trench, method of forming integrated circuitry, and integrated circuitry
US9178064B2 (en) Method for manufacturing fin semiconductor device using dual masking layers
US11024627B2 (en) High-K metal gate transistor structure and fabrication method thereof
US8022483B2 (en) Semiconductor and manufacturing method for the same
JP2013058688A (ja) 半導体装置の製造方法
US8354345B2 (en) Method for forming side contact in semiconductor device through self-aligned damascene process
US7355233B2 (en) Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US9514943B1 (en) Method for etching high-k metal gate stack
US9870951B2 (en) Method of fabricating semiconductor structure with self-aligned spacers
TWI679769B (zh) 半導體裝置、半導體裝置結構及半導體裝置的形成方法
KR102365317B1 (ko) 역으로 경사진 격리 구조체
TW201816855A (zh) 鰭結構及其製造方法
JP2012253056A (ja) 半導体装置の製造方法
US7687341B2 (en) Method for fabricating semiconductor device
CN106952816B (zh) 鳍式晶体管的形成方法
TW202017057A (zh) 鰭狀場效電晶體裝置
US20120302047A1 (en) Method for fabricating semiconductor device with partially open sidewall
US7816208B2 (en) Method of manufacturing semiconductor device having trench-gate transistor
US20120149202A1 (en) Method for fabricating semiconductor device
US8119486B2 (en) Methods of manufacturing semiconductor devices having a recessed-channel
KR20190063484A (ko) N7/n5 finfet 및 그 이상을 위한 공극 스페이서를 제조하는 방법
US9287376B1 (en) Method of manufacturing a gate trench with thick bottom oxide
US20160163559A1 (en) Method for recessing a carbon-doped layer of a semiconductor structure

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140805