JP2019514042A - 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス - Google Patents

予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス Download PDF

Info

Publication number
JP2019514042A
JP2019514042A JP2018547903A JP2018547903A JP2019514042A JP 2019514042 A JP2019514042 A JP 2019514042A JP 2018547903 A JP2018547903 A JP 2018547903A JP 2018547903 A JP2018547903 A JP 2018547903A JP 2019514042 A JP2019514042 A JP 2019514042A
Authority
JP
Japan
Prior art keywords
hard mask
template
patterned
radiation
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018547903A
Other languages
English (en)
Other versions
JP6993982B2 (ja
JP2019514042A5 (ja
Inventor
ジェイソン・ケイ・ストワーズ
アンドリュー・グレンビル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Inpria Corp
Original Assignee
Inpria Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Inpria Corp filed Critical Inpria Corp
Publication of JP2019514042A publication Critical patent/JP2019514042A/ja
Publication of JP2019514042A5 publication Critical patent/JP2019514042A5/ja
Application granted granted Critical
Publication of JP6993982B2 publication Critical patent/JP6993982B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Abstract

高エッチングコントラストの材料が、周期的な穴を有するテンプレートハードマスクと穴の内部の充填材とを有する予めパターン形成されたテンプレート構造を使用するための基礎を提供し、この予めパターン形成されたテンプレート構造は、テンプレート及び高エッチングコントラストレジストによって導かれる、高解像度パターンを迅速に得るための基礎を提供する。この予めパターン形成されたテンプレートを使用して、放射線リソグラフィ、例えばEUV放射線リソグラフィを行うための方法を説明する。また、テンプレートを形成するための方法についても説明する。テンプレートを形成するための材料についても説明する。

Description

関連出願の相互参照
本出願は、2016年3月11日に出願された、「Pre−Patterning Lithography Templates, Processes Based on Radiation Patterning Using the Templates and Processes to Form the Templates」と題されたStowersらによる米国仮特許出願第62/306,979号明細書の優先権を主張するものであり、この米国仮特許出願は、参照により本明細書に組み込まれる。
本発明は、ハードマスク材料及び高エッチングコントラストの放射線感受性レジストを使用した、リソグラフィ・パターニング・プロセスに関する。本発明はまた、処理効率を組み込むのに有用な、予めパターン形成されたリソグラフィ・テンプレートにも関する。
半導体ベースのデバイスおよび他の電子デバイスまたは他の複雑な微細構造を形成する場合、構造を集積するために、材料は一般的にパターン化される。従って、構造は一般的に、種々の材料からパターンが形成される逐次的な堆積およびエッチングステップの反復プロセスによって形成される。このようにして、小さい領域に多数のデバイスを形成することができる。技術的ないくつかの進歩は、そのデバイスの設置面積の低減を含むことができ、これは性能の向上のために望ましい可能性がある。
放射線パターンを使用してそのパターンに対応して有機組成物の化学構造を変化させるように、放射線パターン化レジストとして有機組成物を使用することができる。例えば、半導体ウェハのパターニングのためのプロセスは、放射線感受性有機材料の薄膜から、所望の画像のリソグラフィ転写を必要とし得る。レジストのパターニングは、一般的に、レジストを(例えば、マスクを介して)選択されたエネルギー源に曝露して潜像を記録し、次に、レジストの選択された領域を現像および除去することを含むいくつかのステップを伴う。ポジ型(positive−tone)レジストの場合、曝露領域が変化されてこのような領域が選択的に除去可能にされるが、ネガ型(negative−tone)レジストの場合には、非曝露領域がより容易に除去可能である。
一般的に、パターンは放射線、反応性ガス、または溶液によって現像され、レジストの選択的に感受性の部分が除去され、レジストの他の部分は保護的なエッチング耐性層としての機能を果たす。液体現像剤は、潜像を現像するために特に有効であり得る。基板は、保護レジスト層の残存領域のウィンドウまたはギャップを通して選択的にエッチングされ得る。あるいは、保護レジスト層の残存領域の現像されたウィンドウまたはギャップを通して、下側の基板の露出領域に所望の材料が堆積され得る。最後に、保護レジスト層が除去される。プロセスを繰り返して、パターン化材料の付加的な層を形成することができる。機能性無機材料は、化学蒸着、物理蒸着または他の所望の手段を用いて堆積され得る。導電性材料の堆積またはドーパントの注入などの付加的な処理ステップを使用することができる。マイクロおよびナノファブリケーションの分野において、高集積密度を達成し、そして回路機能を改善するために、集積回路内のフィーチャ(feature)サイズは非常に小さくなっている。
第1の態様では、本発明は、基板上にフィーチャをパターニングするための方法に関し、このプロセスは、曝露ステップと、現像ステップと、エッチングステップと、を含む。曝露ステップの間、構造体は選択的にパターン形成された放射線に曝露される。一般的に、構造体は、基板の表面を被覆するバッファハードマスク層上のテンプレートハードマスク材料を通る周期的にパターン形成された間隙の内部に充填材料を含む、予めパターン形成されたテンプレート構造上に放射線感受性層を含む。実施形態によっては、構造体は、基板、約2nm〜約250nmの平均厚さを有する基板の表面上のバッファハードマスク層、バッファハードマスク層の基板と反対側上のテンプレートハードマスク材料を通る周期的なパターンの間隙を有するテンプレートハードマスク材料、テンプレート材料の周期的なパターンによって形成される間隙を充填する充填材料、及び、放射線感受性レジストの層、を含む。放射線感受性層は、選択的にパターン形成された放射線によって曝露され、潜像を有する曝露された放射線感受性層を形成する。曝露された放射線感受性層は現像されて、潜像に基づいてパターン形成された層を有するパターン形成された構造を形成する。パターン形成された構造をエッチングして、充填材料を有するパターン形成された層を通る穴の重なりのおかげで、エッチングプロセスを受けやすくなっている充填材料を、選択的に除去することができる。
更なる実施態様では、本発明は、パターン形成されたテンプレートに関し、このパターン形成されたテンプレートは、基板、約2nm〜約250nmの平均厚さを有する基板の表面上のバッファハードマスク層、バッファハードマスク層の基板と反対側上のテンプレートハードマスク材料を通る周期的なパターンの間隙を有するテンプレートハードマスク材料、テンプレート材料の周期的なパターンによって形成される間隙を充填する充填材料、及び、感光性無機オキソ/ヒドロキソベースの組成物の層、を含む。バッファハードマスク層は、基板とは異なる無機材料を含むことがあり、テンプレートハードマスク材料はバッファハードマスク材料とは異なる材料を含むことがあり、充填材料はテンプレートハードマスク材料とは異なっていることがある。実施形態によっては、バッファハードマスクは、窒化チタン、窒化タンタル、窒化ケイ素、又は酸化ケイ素を含む。
別の態様では、本発明は、パターン形成されたテンプレートを形成するための方法に関し、この方法は、曝露、現像、エッチング、及び堆積のステップを含む。曝露ステップでは、組成的に積層された積層体の表面上の放射線感受性層が、周期的な放射線パターンに曝露され、この組成的に積層された積層体は、表面を有する基板と、基板の表面上のバッファハードマスク層と、バッファハードマスク層の基板と反対側上のテンプレートハードマスク材料の層と、を含む。放射線感受性組成物、バッファハードマスク層、及びテンプレートハードマスク材料は、差別的エッチング特性を有することがある。実施形態によっては、バッファハードマスク層は、約2nm〜約250nmの平均厚さを有することがある。放射線感受性組成物は、曝露に続いて現像され、パターン形成された曝露された構造を形成することがある。パターン形成された曝露された構造をエッチングして、テンプレートハードマスク材料を通る穴を有する周期的なパターン形成されたテンプレート材料を形成することができ、このパターン形成されたテンプレート材料を通る穴の内部に充填材料を堆積させることができる。充填材料は、バッファハードマスク層及びテンプレートハードマスク材料に対して差別的エッチング特性を有する。
ハードマスクを通る周期的な穴、及び穴内部の充填材料を有する、パターン形成されたテンプレート構造の側断面図である。 パターン形成されたハードマスク層の上面から充填材料を除去するように構造が平坦化された、図1のパターン形成されたテンプレート構造の側断面図である。 図2のパターン形成されたテンプレート構造の上面図である。 バッファハードマスク層を有する基板の側断面図である。 バッファハードマスク層上にテンプレートハードマスク層を有する、図4の構造の側断面図である。 テンプレートハードマスク層上に放射線レジスト層を有する、図5の構造の側断面図である。 適切な放射線への曝露を通じて放射線レジスト層上に潜像が形成された、図6の構造の側断面図である。 現像して、潜像の部分を除去し、パターン形成されたレジストからテンプレートハードマスク層にパターン転写して、パターン形成されたレジスト及びパターン形成されたハードマスク層を通って延びる穴を有するパターン形成されたハードマスク層を形成した後の、図7の構造の側断面図である。 残りの放射線レジストを除去した後の、図8の構造の上面図である。 図9の構造の側断面図である。 周期的な縞を形成する放射線レジスト内の潜像を有する、図6の構造と類似の構造の上面図である。 図11の線12−12に沿った、図11の構造の側断面図である。 初期の層の上部に更なる放射線レジストの層を有し、レジストの最上層は直交する周期的な縞を有する潜像を有する、図11の構造の上面図である。 図13の線14−14に沿った、図13の構造の側断面図である。 図13の線15−15に沿った、図13の構造の側断面図である。 積層された潜像の現像後の図13の構造の上面図である。 図16の線17−17に沿った、図16の構造の断面図である。 図16の線18−18に沿った、図16の構造の断面図である。 レジストの構造のパターンをテンプレートハードマスクに転写して、パターン形成されたハードマスクを形成するためにエッチングした後の、図13の構造の側断面図である。 残りのレジストを除去した後の、図19の構造の上面図である。 図20の線21−21に沿った、図20の構造の側断面図である。 上面上に放射線レジスト層を有するテンプレートの側断面図である。 放射線レジスト層が曝露され現像されて、パターン形成されたレジスト層を形成している、図22のテンプレートの側断面図である。 パターニング後のポジ型放射線レジストを有するテンプレートの上面図である。 パターニング後のネガ型放射線レジストを有するテンプレートの上面図である。 あまり決定的ではなく曝露された充填材料と極めて決定的に曝露された充填材料とを例示する、低解像度でパターン形成された放射線レジストを有するテンプレートの側断面図である。 エッチング後の図26の構造の側断面図である。 残りの放射線レジストを除去した後の、図27のエッチング済構造の側断面図である。 バッファハードマスクをエッチングして、パターン形成されたハードマスクからバッファハードマスクに曝露されたパターンを転写した後の、図28のきれいにされた構造の側断面図である。 パターン形成されたハードマスクを除去した後の、図29のパターン形成されたバッファハードマスクを有する構造の側断面図である。
高エッチングコントラストの材料は、充填材料で充填された周期的な間隙を有するテンプレートハードマスクを有して予めパターン形成されたテンプレート構造を使用するための基礎を提供し、また、放射線感受性金属オキソ/ヒドロキソベースのレジストなどの高エッチングコントラストレジストとテンプレートとによって導かれる、高解像度パターンを迅速に得るための基礎を提供する。周期的なフィーチャと高エッチングコントラスト材料とを有するテンプレートを使用することにより、パターニング技術を使用して、テンプレート解像度に基づいて、結果として得られるパターン忠実度が効果的に改善されたパターニングを行うことができる。パターニングプロセスは、高忠実度の周期的テンプレートパターンが形成され、これに続いて個々のフィーチャが選択される、複数のステップに分解することができる。実際には、テンプレートはパターンの修正をもたらすことがある。実施形態によっては、テンプレートは、最終的にパターン形成されることになる無機基板上にハードマスクバッファ層を含むことがあり、このハードマスクバッファ層は他の材料と共に高コントラストエッチングを更に提供する。以下で詳細に説明するように、高コントラストで物理的に堅牢なレジストは、穴の形成を導くための直交平行線模様の形成を介して、テンプレートの製造に対して効果的なアプローチをもたらすこともできる。これらのプロセスは、効率の改善と同時に、高解像度、高忠実度のフィーチャを得るために、既存の製造設備に効果的に取り込むことができる。
全般的な処理としては、テンプレートを形成することと、テンプレート構造に基づいて選択的なパターニングを行うこととを含む。本明細書でのパターニング方式は、テンプレートの形成のために、格子に沿って周期的なパターンを形成するという意味で一般的に行われる初期のパターニングに基づく。具体的には、イメージングの一般的な原理として、ランダムな構造よりも小さなピッチでより高い忠実度の高周期パターンを形成することができる。結果として、任意のリソグラフィ曝露ツールを、高周期パターンをプリントすることによってより高品質のフィーチャを生成しながら、その解像度限界により近づけて動作させることができる。これは、イメージングシステムが、ランダムなパターンを生成するのに必要な広範囲の空間周波数に対してバランスを取るよりもむしろ、1つの空間周波数のみをプリントするように調節されているので、可能である。或いは、自己整合型ダブルパターニング(SADP)又は自己整合型クワドパターニング(SAQP)などのプロセスベースの技術を使用して、緩和されたピッチの良好に形成された周期的構造を効果的にピッチ増加させることができる。そのような技術は、高品質の周期的構造の生成には当てはまるが、ランダムパターンにはあまり適していない。しかしながら、高忠実度のテンプレートをまず生成することにより、そのテンプレートは、より低い放射線ドーズ量を使用して、周期的格子の非周期的な(ランダムな)部分の選択的なパターニングを導き、その格子の所望の部分を選択することができる。これにより、テンプレートによってマークされた格子点の選択に基づく、高解像度のパターンが可能になる。テンプレート構造の高解像度パターニングを行う能力は、テンプレートを構成する複数の材料に対して差別的エッチングを提供する放射線感受性レジストの有用性のおかげで可能であり、この複数の材料も、それらの間で高コントラストの差別的エッチングを有する。従って、処理の特定の時点で同時に曝露することができる3つの材料があり、それらの材料は全て、高コントラストで差別的にエッチング可能である。適切な材料については、以下で詳細に説明する。テンプレート用の高解像度の穴を形成するために、幾つかの実施形態は、高コントラストの差別的エッチングを、同様に含む。
テンプレートは、ハードマスクを通る穴を有するハードマスクを用いて形成された、相対的に高解像度の周期的格子を含むことがある。パターン形成されたハードマスク内の穴は、一般的に充填材料で充填され、充填材料は、パターン形成されたハードマスク材料並びに放射線レジスト及びその下のハードマスク層に対して、高コントラストの差別的エッチングをもたらす。下にあるハードマスク層は、バッファハードマスク層と呼ばれることがあり、その下にある基板表面上のバッファ層を提供する。従って、テンプレートは2つのハードマスクフィーチャを含み、上部のハードマスクはパターン形成され、下側のハードマスクはパターン形成されない。パターン形成されたハードマスクを通る穴に対応する周期的な格子を高解像度で設けることができ、その結果、その後の特定のパターニングが、この周期格子の高解像度を利用することができる。結果として得られる穴に充填材料を堆積させることができ、実施形態によっては、充填材料は、比較的に平坦なテンプレート構造を形成するために平坦化されることがある。具体的には、パターン形成されたハードマスク/充填構造は、一般的に基板上のバッファハードマスク層上に配置され、このバッファハードマスク層は、これ以前の処理において既にパターン形成されていることも、されていないこともある。バッファハードマスクは、充填材料のエッチング中に基板を意図せずエッチングするのを回避する。実施形態によっては、ファイラー用に高解像度でパターン形成された穴を形成することには、互いに対して高エッチングコントラストを有する、放射線レジストを含む3つの材料を使用することが含まれる。
テンプレート構造を形成した後で、より低解像度のパターニングプロセスを使用した、テンプレートの周期的格子に基づく選択的パターニングのために、テンプレートを使用することができる。高エッチングコントラストの放射線感受性レジストが、テンプレート上にコーティングされ、パターン形成されることがある。パターン形成された放射線レジストは、テンプレートの規則的なパターンを覆う選択されたパターンに従って、テンプレートから充填材をエッチングすることを可能にする。高エッチングコントラストのおかげで、放射線レジストは、テンプレート構造よりも低い解像度でパターン形成されながらも、テンプレートパターンの要素を選択することができる。従って、テンプレート構造の解像度は、更なる処理のために持ち越されつつ、より低い放射線ドーズ量及び一般的により速い処理速度で実行することができる低解像度を使用した選択的パターニングステップを行うことを可能にする。
放射線ベースのリソグラフィを使用した高度な材料の加工は、1つ又は複数のパターニングステップを含むことがある。複雑な固体回路を形成するためには、一般的に、パターン形成された構造の多数の層が組み立てられる。本処理方式は、単一のパターニングステップに、又は、パターン形成された構造の複数の層を含むより複雑なパターニング内の1つ又は複数のパターニングステップに、有用であることがある。より高度な放射線ベースのパターニングは、極端紫外線(EUV)又は電子ビーム放射線などの、より高エネルギーへの放射線の拡張を含み、より高解像度のためにより短い波長を利用する。しかしながら、これらのより高いエネルギーの放射線を用いた処理は、現在のところ、より低いエネルギーのUV光を用いたパターニングのための処理と比べて、処理時間がより長くなり、資本経費がより高くなる。UV光処理からのパターンを拡大して解像度又はピッチを低減する技術が開発されており、より高いエネルギーでのパターニング結果に概ね近い結果が得られた。本明細書で説明する処理方式は、所望の水準の解像度を得ながらより低いパターニングエネルギーで実行されることもされないこともあるリソグラフィ処理のために、更なる効率を持ち込むことができる。
レジストの安定性及び処理可能性を制御するために放射線感受性配位子を使用した金属酸化物化学反応(金属オキソ/ヒドロキソ組成物)に基づいて、放射線ベースのレジストの新しいクラスが開発されている。この新しい放射線ベースのレジストの第1の集合は、放射線感受性安定化配位子として、ペルオキソ配位子を使用する。ぺルオキソベースの金属オキソ−ヒドロキソ化合物は、例えば、参照により本明細書に組み込まれる「Patterned Inorganic Layers, Radiation Based Patterning Compositions and Corresponding Methods」と題されたStowersらによる米国特許第9,176,377B2号明細書に記載されている。参照により本明細書に組み込まれる「Metal Peroxo Compounds With Organic Co−ligands for Electron Beam, Deep UV and Extreme UV Photoresist Applications」と題されたBassらによる、公表されている米国特許出願第2013/0224652A1号明細書において、関連するレジスト化合物が考察されている。参照により本明細書に組み込まれる「Organometallic Solution Based High Resolution Patterning Compositions」と題されたMeyersらによる、公表されている米国特許出願第2015/0056542A1号明細書において記載されているようなアルキル配位子を用いた効果的なタイプのレジストが開発されている。これらの金属オキソ/ヒドロキソベースのレジストは特に望ましいが、実施形態によっては、何らかの他の高性能レジストが適していることがある。具体的には、他の関心が持たれるレジストとしては、テンプレート、充填材料、及びバッファハードマスクに対する高いエッチング選択性を有するレジストが含まれる。これらは、金属酸化物ナノ粒子レジスト(例えば、参照により本明細書に組み込まれる、Jiang Jing、Chakrabarty Souvik、Yu Mufeiらによる、「Metal Oxide Nanoparticle Photoresists for EUV Patterning」と題された、Journal Of Photopolymer Science And Technology 27(5)の663〜666、2014年)などのレジスト、又は他の金属含有レジスト(参照により本明細書に組み込まれる、「A Platinum−Fullerene Complex for Patterning Metal Containing Nanostructures」という、D.X.Yang、A.Frommhold、D.S.He、Z.Y.Li、R.E.Palmer、M.A.Lebedeva、T.W.Chamberlain、A.N.Khlobystov、A.P.G.Robinsonによる、SPIE Advanced Lithography講演集録、2014年)を含むことがある。Yamashitaらによる「Film−Forming Composition, Method for Pattern Formation, and Three−Dimensional Mold」と題された、公表されている米国特許出願第2009/0155546A1号明細書、及びMaloneyらによる「Method of Making Electronic Materials」と題された米国特許第6,566,276号明細書では、他のレジストが記載されており、これらの明細書は両方とも参照により本明細書に組み込まれる。
テンプレートは、パターン形成され製品コンポーネントに組み込まれることになる最終的な材料に対応する基板を含む。適切な基板は、1つ又は複数の層を含むことがあり、例えば、シリコンウェハ及び/又は他の無機材料を含むことがあり、これらは別個にパターン形成されていることもされていないこともある。しかしながら、一般的に、任意の適切な材料が、パターン形成することができ、かつ処理状態に耐性がある基板を提供することができる。当然ながら、シリコンウェハは、電子デバイス及び他の半導体用途に広く使用されている。本明細書で説明するパターニングプロセスは、複数の機能層を有する集積回路コンポーネントとして使用されることになるパターン形成済材料などの、より精巧な構造の蓄積体の一部として既にパターン形成された構造に対して実行することができる。同様に、製品の形成のためのプロセスは、本明細書で説明する改善されたパターニング方式の実装間で、別個のパターニングステップを有することも有さないこともある、本明細書での改善されたパターニング方式を繰り返し使用することを含むことがある。換言すると、テンプレート方式を使用したパターニングプロセスの完了後に、この手順は、同一の又は異なる周期的スケールでの新しいテンプレート構造の形成を伴って直ちに又は引き続いて繰り返されることがあり、選択的なパターニングプロセスは、引き続いて導入されたテンプレートパターンに基づいて行われる。
テンプレートを使用すると、テンプレートの周期的格子が、周期的格子の選択された部分に基づいて後続のパターニングを行うための案内を提供する。従って、テンプレート構造は、周期的格子の一部として基板へ転写するための選択可能パターンを提供する。選択的パターニングを行うために、放射線レジスト層は一般的に、テンプレートの最上部に沿って配置されて、放射線パターニングを可能にする。放射線レジスト材料は、テンプレートのパターン形成されたハードマスク材料と充填材料の両方に対して、差別的なエッチング能力を有するべきである。次いで、放射線レジストは、潜像を形成するための照射、及び潜像の現像によって、即ち、放射パターン又はそのネガに沿って材料を除去することによって、またこれに加えて任意の中間の又は現像後の処理ステップによって、選択されたパターンに沿ってパターン形成されることができる。一旦放射線レジストが物理的にパターン形成されると、パターン形成されたレジストを有する構造体は、レジストのパターンと下にある充填材パターンとが重なっている点でエッチングされることができる。テンプレートが最終的にパターニングプロセスを制御しているので、レジストのパターニングは、最終的な解像度及びピッチに影響を与えることなく、より低解像度で行われることができる。処理のこの段階でのパターン形成されたレジストは、パターン中の選択された充填材料の十分な曝露を単に提供して、選択された充填材料のエッチングを可能にする。エッチングは、差別的なエッチング特性のおかげで比較的に集中的に、効果的に行われることができるので、充填材は、レジストのパターンを超えてエッチングされることができ、加えて、予めパターン形成されたハードマスクとレジストパターンとの重なりによって制限される、というのも、充填材料はパターン形成されたハードマスクとレジストの両方に対して差別的にエッチングされるからである。このようにして、テンプレートの高解像度を、所望に応じて、レジストのより低解像度のパターニングにおいて利用することができる。また、選択されたパターニングを、より迅速により低いエネルギードーズ量を用いて行うことができ、同時に、予めパターン形成されたテンプレートの高解像度を依然として利用することができる。
テンプレートの構造及び形成
テンプレートは、最終的な製品に少なくともその一部が組み込まれることがある基板と、基板上の予めパターン形成された構造の形態をしたパターニング補助部との両方を提供する。ハードマスク層が、予めパターン形成された構造と基板との間のバッファを提供し、このバッファは、以降の章で説明するように、予めパターン形成された構造の高解像度パターニングの維持を容易にし、かつ、基板を予備的な処理ステップから保護する。予めパターン形成された構成は周期的格子上に配置され、周期的格子は、高解像度でのパターンの形成を容易にし、後続の処理のために所望のパターニングの柔軟性を提供することができる。テンプレート構造は、選択された基板上に組み立てることができる。特に、基板の任意の予備的な準備の後で、バッファハードマスク層を基板上に配置することができる。次いで、事前パターニング用のテンプレートハードマスク層を、この構造体のバッファハードマスク上に配置することができる。次いで、放射線感受性フォトレジストをテンプレートハードマスク上に堆積させることができ、その後、フォトレジストの後続のパターニングを行って、周期的格子に沿ったテンプレートハードマスク層のエッチングを導くことができる。周期的な穴を設けるための幾つかの手順が説明され、これは特に、高品質の穴状の小さなフィーチャパターンを得るための効率的な処理方式が、直交平行線模様のレジストパターンを形成することを含む、ということを含む。直交平行線模様のレジストパターンは、パターニングプロセスに対して特に安定した金属オキソ/ヒドロキソベースのレジスト組成物を使用することにより、効果的に形成することができる。直交平行線模様のレジストパターンは、所望の小さなピッチ及び良好なエッジ平滑性を有することができる穴の形成を導く。直交平行線模様を用いたこのパターニングは望ましい結果をもたらすことができるものの、代替の方式も想定される。事前パターニングステップは一般的に、バッファハードマスクを実質的に無傷で残しながら、テンプレートハードマスク層をパターン形成されたハードマスク層に変換する。次いで、予めパターン形成されたハードマスクを通る穴又は間隙に充填材を配置して、完全な形のテンプレート構造を形成することができる。研磨又は他の手段を用いて、パターン形成されたハードマスクより上に延在している充填材料を除去することができ、これにより、以降の章で説明するように、選択されたパターニングのためにテンプレートを準備のできた状態にすることができる。
図1を参照すると、テンプレート100が基板102、バッファハードマスク104、パターン形成されたハードマスク106、及び充填材108を含む。上述したように、一般的に、基板102は、本明細書で説明するパターニングの処理条件を受けることができる任意の妥当な材料を含むことができる。充填材108を堆積させた後で、以下に説明するように、表面を平坦化することができる。平坦化された実施形態が図2(断面図)及び図3(上面図)に示されており、これらの図では、パターン形成されたハードマスクより上にある全ての又は実質的に全ての充填材料が除去されている。
基板102は、複数の層を含むことがあり、表面は、典型的に平坦であるか又はほぼ平坦である、構造体の最上部に関連する。実施形態によっては、基板表面は、本明細書で説明するように、更なる処理のために表面を調えるように処理されることがある。例えば、基板表面を適切に洗浄及び/又は平滑化することがある。適切な基板表面は、任意の妥当な材料を含むことができる。電気回路処理の場合、例えば、最終製品は、積層体の幾つかの層に沿って機能的なパターン形成された層を有することがある。従って、そのような用途のために本明細書で説明する処理を使用すると、「基板」は、関連するパターニングステップに先立って既に組み立てられたパターン形成された層を有する構造などの、より複雑な構造を有することも有さないこともある。基板の任意の事前パターニングは、本明細書で説明する改善された処理を含むことも含まないこともある。
いくつかの基板は、基板の表面にわたっておよび/または層内に、例えば、シリコンウェハ、シリカ基板、例えばセラミック材料のような他の無機材料、ポリマー基板、例えば有機ポリマーなど、これらの複合体、およびこれらの組み合わせを含み得る。少なくとも基板のベースとしては比較的薄い円筒形構造などのウェハが便利であり得るが、任意の合理的な形状の構造を使用することができる。ポリマー基板または非ポリマー構造上にポリマー層を有する基板は、その低コストおよび柔軟性に基づいて、特定の用途のために望ましいことがあり、適切なポリマーは、本明細書に記載されるパターニング可能な材料の加工のために使用され得る比較的低い加工温度に基づいて選択され得る。適切なポリマーは、例えば、ポリカーボネート、ポリイミド、ポリエステル、ポリアルケン、そのコポリマーおよびその混合物を含むことができる。一般に、基板は、特に高解像度用途のために、平坦な表面を有することが望ましい。
バッファハードマスク104は、パターン形成されたハードマスク材料及び充填材料に対して同時に差別的なエッチングを提供し、加えて基板材料に対しては独立してエッチングを提供するように設計される。本明細書で説明する特に関心の持たれる材料としては、窒化チタン、窒化タンタル、又は窒化ケイ素を、バッファハードマスク104に適した材料として特定することができるが、酸化ケイ素などのセラミック酸化物、又は酸窒化ケイ素などのそれらの組み合わせを、残りの材料の適切な選択と共に使用することもできる。バッファハードマスク層は、約1ミクロン以下、更なる実施形態では約2nm〜約100nm、別の実施形態では約3nm〜約50nm、更なる実施形態では約4nm〜約20nmの平均厚さを有することがある。当業者であれば、上記の明示的な範囲内にある別の範囲のバッファハードマスク層の厚さも考えられ、本開示の範囲内であることを、認識するであろう。
パターン形成されたハードマスク106は、所望のエッチング特性を提供するのに適切な材料を含むことができる。パターン形成されたハードマスク106に適した材料としては、例えば、窒化タンタル、窒化チタン、窒化ケイ素、酸化ケイ素等が挙げられるが、但し、バッファハードマスク104とパターン形成されたハードマスク106の両方が異なる材料から形成されることが条件である。パターン形成されたハードマスク層は、約3ミクロン以下、更なる実施形態では約10nm〜約500nm、別の実施形態では約20nm〜約200nmの平均厚さを有することがある。パターン形成されたハードマスク106の厚さは、バッファハードマスク104への高忠実度のエッチングを可能にするのに十分なエッチング耐性をもたらすように調節される。パターン形成されたハードマスク106の厚さとバッファハードマスク104の厚さとの比は、実施態様に応じて選択することができるが、この比は2:1〜50:1の間であることがあり、更なる実施形態では、5:1〜20:1の間である。当業者であれば、上記の明示的な範囲内にある別の範囲のパターン形成されたハードマスク層の厚さ及び比も考えられ、本開示の範囲内であることを、認識するであろう。
充填材108の材料については、適切な材料としては、例えば、炭素の豊富な組成物、又はシリカガラス組成物、又は有機ケイ酸塩が挙げられる。炭素の豊富な組成物は、例えば、スピン・オン・カーボン、又はCVD蒸着カーボンなどの他のカーボン堆積材料であることがある。スピン・オン・カーボン(SoC)は、液体として堆積させることができ、かつ一般的に、例えば、高炭素含有ポリマー、又はフラーレンなどの分子を含むことがある、高い炭素含有量の組成物を一般的に指し、英国のIrresistible Materials、Ltdからスピン・オン・カーボンとして市販されている。実施形態によっては、SoC組成物は、乾燥したコーティング組成物の重量に基づいて、少なくとも約50重量%の炭素、更なる実施形態では約60重量%〜約99.5重量%の炭素、別の実施形態では約70重量%〜約99重量%の炭素を含むことがある。SoC組成物はまた、少量の水素、例えば、乾燥したコーティング組成物の重量に基づいて、幾つかの実施形態では約10重量%以下の水素、更なる実施形態では約0.010重量%〜約5重量%、別の実施形態では約0.020重量%〜約3重量%の水素などを含むこともある。当業者であれば、上記の明示的な範囲内にある別の組成物の範囲も考えられ、本開示の範囲内であることを、認識するであろう。SoC材料は、JSR株式会社(日本)から市販されている。例えば、参照により本明細書に組み込まれる、「Spin−on−Carbon Compositions for Lithographic Processing」と題されたKrishnamurthyらによる米国特許第9,102,129B2号明細書も参照されたい。スピン・オン・カーボン材料は、適切なコーティングプロセスを使用してコーティングされることができ、例えば加熱により乾燥させることができる。CVDカーボン層蒸着については、例えば、参照により本明細書に組み込まれる、「Method of Forming a Protective Film and a Magnetic Recording Medium Having a Protective Film Formed by the Method」と題された、Nagataによる公表されている米国特許出願第2007/0037014号明細書に記載されている。
シリカガラス組成物は、例えば、スピン・オン・ガラス又はCVD蒸着シリカであることがある。スピン・オン・ガラスは、シリコンベースの純粋に無機の組成物か、又は、一般的に加熱時の分解反応を通じてシリカガラスを形成するように反応する、有機/無機組成物である。スピン・オン・ガラス材料は、Desert Silicon(米国、アリゾナ州)などから市販されている。スピン・オン・ガラス組成物は、エーテル又は芳香族溶媒などの適切な有機溶媒中にポリシラザンポリマーを含むことがあり、ポリシラザンポリマーは、酸素雰囲気中で硬化されて酸化ケイ素を形成することができる。スピン・オン・ガラス用のポリシラザン組成物については、参照により本明細書に組み込まれる「Spin−On Glass Composition and Method of Forming Silicon Oxide Layer Semiconductor Manufacturing Process Using the Same」と題された、Leeらによる米国特許第7,270,886号明細書に記載されている。ポリオルガノシロキサンを用いたスピン・オン・ガラス配合物については、参照により本明細書に組み込まれる「Coating Solution for Forming Glassy Layers」と題されたAllmanの米国特許第5,302,198号明細書に記載されている。適切なシリカベースのゾルーゲル組成物が、当技術分野では知られており、スピン・オン・ガラス組成物として使用することができる。例えば、シリカガラス材料の形成のためのゾルーゲル組成物については、参照により本明細書に組み込まれる「Process for Reducing or Eliminating Bubble Defects in Sol−Gel Silica Glass」と題されたGanguliらによる公表されている米国特許出願第2002/0157418号明細書に記載されている。スピン・オン・ガラス組成物は、酸素含有雰囲気中で加熱により硬化されて、シリカガラスを形成することができる。シリカガラスは、化学蒸着(CVD)、又は火炎加水分解法などの当技術分野で知られている他のプロセスを使用して、様々な状況で一般的に堆積される。例えば、光電気通信デバイス用の上部クラッド層として使用するための、プラズマCVD(PECVD)に基づく、Ge、P、及びBを用いてドープされたシリカガラスの形成について、参照により本明細書に組み込まれる「GeBPSG Top Clad for a Planar Lightwave Circuit」と題されたZhongらによる米国特許第7,160,746号明細書に記載されている。
パターン形成されたハードマスクは、充填材108材料で充填された、パターン形成されたハードマスク材料を通る穴を有する。これらの穴は、結果的に生じる用途の範囲に穴を適合させることができるように、一般的に周期的に配置される。穴のサイズ及び穴の間隔は、処理上の制約によって規定されることがある。一般的に、穴は、以下で更に説明するように、選択されたパターニング方式に基づいて、円形又は正方形など、任意の妥当な断面形状を有することができる。これらの穴は、断面に基づいて、ほぼ円筒形の形状、直方体の形状、又は他の対応する形状を、呼応して有することがあるが、穴を形成するエッチングプロセスが、いくらかの歪みを持ち込むことがある。穴の平均直径は、円形ではない場合には断面の中心を通るエッジ間の距離の平均として求めることができ、約500nm以下、更なる実施形態では約250nm以下、他の実施形態では約5nm〜約125nmであることがある。当業者であれば、上記の明示的な範囲内にある穴の直径の別の範囲も考えられ、本開示の範囲内であることを、認識するであろう。穴の直径は、穴の充填及び他の処理ステップが妨げられないように、穴のアスペクト比、即ち直径で割った深さがあまり大きくならないように、層の厚さを考慮して選択されることがある。
穴のパターンは一般的に、処理効率をもたらすために周期的であるが、結果として生じる用途の範囲に対して適応性も提供する。周期性は、基板の平面に沿った2つの寸法に従って、配列させることができる。周期は、直交する方向同士で同じであってもなくてもよいが、ほぼ等しい周期性を有すると、対称性がもたらされる。本明細書で使用する場合、ピッチは、穴同士、又は状況により他のフィーチャ同士の間の中心間距離として指定される。穴は、約500nm以下のピッチ、更なる実施形態では約250nm以下、更なる実施形態では約10nm〜約125nmのピッチを有することがある。当業者であれば、上記の明示的な範囲内にあるピッチの別の範囲も考えられ、本開示の範囲内であることを、認識するであろう。
一般的に、テンプレートを研磨して、パターン形成されたハードマスク上の充填材料を実質的に又は完全に除去し、平坦化された構造を形成することが望ましいことがある。平坦化された構造を形成することは、一般的に、更なる処理を容易にして改善するが、ハードマスク材料の表面上の少量の充填材残留物は、重大であるべきではない。図2を参照すると、テンプレート120が基板122、バッファハードマスク124、パターン形成されたハードマスク126、及び充填材料128を含む。これらの構造に対する材料及びパラメータの範囲は、上記でテンプレート100の対応する構造について考察したものと一致するので、ここでは明示的に繰り返さない。図3を参照すると、上面図が示されている。エッチングを行って表面を平坦化し図2の構造を形成することができ、適切なエッチング液について、充填材料を除去しながらパターン形成されたハードマスク材料を実質的に無傷で残すことができるエッチング機能の観点から、以下で説明する。しかしながら、エッチングは、穴からいくらかの充填材料を除去することがある。材料の性質に起因して、化学機械平坦化又は研磨(CMP)を効果的に使用して、図1の構造を平坦化して図2の平坦化された構造を形成することができる。半導体グレード平坦化用のCMPシステムが、例えば、Cabot Microelectronics(米国)又はLogitech(英国)から市販されている。適切な化学スラリーをCMPプロセスで使用することができ、これらのスラリーは、選択的な平坦化特性をもたらすために市販のスラリーの範囲から選択することができる。
テンプレートを形成する処理のために、基板を適切に準備することができ、次いでテンプレートが適切な処理を用いて組み立てられる。テンプレートを特徴付ける穴を導入するための様々な方法がある。穴を形成するために使用されるプロセス方式は、ピッチ、サイズ、及び平滑さを含む穴の品質を決定するのに役立つ。まず、小さな短いピッチの穴には現時点では適していないことがある直接方式が説明されるが、単純明快な直接方式は、完全さのために説明される。直接方式について説明した後で、効率的で高品質の処理を提供する処理方式が説明され、この方式は、金属オキソ/ヒドロキソベースのレジストの特性に基づいて小さなフィーチャ用に効果的に実施することができる。次いで、溝の充填に基づいて小さなピッチで高品質の穴を形成するために以前に使用されたプロセスが、適切な適合を伴って要約され、他の2つの方式と比較される。
図4〜図6は、パターニングに先立ってテンプレートの基本的な積層構造を形成するための材料の構築について、概略的に概説する。図4に示すように、準備された基板150上にバッファハードマスク層152を堆積させることができる。図5に示すように、バッファハードマスク層152の上面上に、同様にテンプレートハードマスク層154を堆積させることができる。処理のこの段階では、テンプレートハードマスク層154はパターン形成されていない。バッファハードマスク層152又はテンプレートハードマスク層154を堆積させるための適切な方法としては、例えば、化学蒸着(CVD)、又はスパッタリングなどの物理蒸着(PVD)が挙げられ、ハードマスク層の堆積のために独立して選択することができる。他の適切な堆積技術、例えば低圧CVD、プラズマCVD、又は他の適切な変形例などを使用することもできる。そのような堆積装置は、現場では広範に使用されており、関連する技術は、当業者には良く知られている。従って、パターン形成されたハードマスク層を形成するためのパターニングに先立って、第1のバッファハードマスク層152を堆積させ、続いてテンプレートハードマスク層154を堆積させるために、2つの連続した堆積ステップを使用することができる。次いで、図6を参照すると、放射線パターン形成可能なレジスト156が、テンプレートハードマスク層154上に堆積される。
テンプレート用の穴の形成は、プロセスにおいて重要なステップであり得る、というのも、穴が、望ましい小さなピッチで、かつフィーチャの比較的に高い平滑さを伴って形成される場合、実施形態によっては、全般的な手順がより有用であることがあるからである。フィーチャの周期性は、効率的な穴の形成を容易にすることができる。上述したように、穴の形成のための幾つかの方式が考察される。まず、穴の形成のための直接方式が説明され、この方式は、以降で説明するより効率的な方式に対して前後関係を提供するための、単純明快な実施形態とみなすことができる。
直接パターニング方式は、図7〜図9に要約されており、放射線レジストが、穴の形成のために直接的にパターン形成される。実施形態によっては、レジスト組成物を、適切なパターン形成された放射線、例えばUV放射線、極端UV、電子ビーム放射線等に曝露させて、仮想的な又は潜在的な像158を形成することができ、潜像158は図7では破線で示されている。次いで、放射線パターン形成済構造を、ウェットエッチング又はドライエッチングを介して現像して、潜像158の部分162を除去し、図8のパターン形成されたレジスト層160を後に残すことができる。
適切な現像方式の例は、以降で更に説明するように、使用される特定のレジストに依存することがある。ポジ型レジストの場合、曝露された領域はそのような領域を選択的に除去可能にするように変換され、一方ネガ型レジストの場合、曝露されていない領域がより容易に除去可能になる。適切なレジスト組成物としては、例えば、eビーム及びEUVパターニング等のための、ポリ(メチル・メタクリル樹脂)、ポリ(メチル・グルタルイミド)、DNQ/ノボラック(フェノールホルムアルデヒド樹脂と混合したジアゾナフトキノン)、SU−8(ノボラック−エポキシ樹脂)、シルセスキオキサン化合物などの、市販のレジストが挙げられる。これらのレジストを用いた処理は十分に確立されており、一般的に、希釈水性塩基などの従来の現像主薬が、潜像を現像するのに有用であることがある。安定性及び処理可能性を制御するために放射線に不安定な配位子が導入された、安定化された金属酸化物に基づいて、放射線レジストの新しいクラスが本出願人によって開発された。これらの放射線レジストは、遠紫外線、例えば193nmにおいて、かつ極端紫外線、例えば13.5nmにおいて、並びにeビームで、良好な吸収作用を有する。これらのレジストの第1のクラスは、金属オキソ/ヒドロキソペルオキソ錯体である。これらのレジストについては、参照により本明細書に組み込まれる「Patterned Inorganic Layers, Radiation Based Patterning Compositions And Corresponding Methods」と題されたStowersらによる米国特許第8,415,000B2号明細書に詳細に記載されている。他者が、’000特許の化合物の変形例について説明しており、これらについては、参照により本明細書に組み込まれる「Metal Peroxo Compounds, with Organic Co−ligands for Electron Beam, Deep UV, Extreme UV Photoresist Applications」と題されたBassらによる、公表されている米国特許出願第2013/0224652A1号明細書を参照されたい。アルキル配位子を有する金属オキソ/ヒドロキソ化合物に基づく放射線レジストの別の新しいクラスについて、「Organometallic Solution Based High Resolution Patterning Compositions」と題されたMeyersらによる公表されている米国特許出願第2015/0056542A号明細書、及び「Organotin Oxide Hydroxide Patterning Compositions, Precursors, And Patterning」と題されたMeyersらによる、同時係属の米国特許出願第15/291,738号明細書に記載されており、これらの明細書は両方とも参照により本明細書に組み込まれる。
一般的に、金属オキソ/ヒドロキソ放射線感受性組成物は、スピンコーティング、スプレーコーティング、ナイフエッジコーティング、又は当業者に既知の他の方法などの、適切な溶液コーティング技術を使用して、堆積させることができる。放射線感受性金属オキソ/ヒドロキソ組成物を堆積させる他の手段は、蒸着を含むことがある。スピンコーティングを介した堆積は、基板を均一に覆うための望ましい方式であり得るが、エッジ効果が存在することがある。一実施形態では、アルキルスズベースの組成物を使用することができる。別の実施形態では、「Patterned Inorganic Layers, Radiation Based Patterning Compositions And Corresponding Methods」と題されたStowersらによる米国特許第8,415,000B2号明細書に記載されている、ハフニウム及びジルコニウム組成物などの、金属ペルオキソ組成物を使用することができる。選択された放射線の望ましい吸収作用を有する他の金属含有組成物を、効果的に使用することができる。液体は、乾燥後に所望の層厚さをもたらすように、適切な塗布量で塗布される。前駆体液の濃度を別のパラメータとして調節して、コーティング特性、前駆体液のレオロジー、乾燥したコーティングの厚さを制御することができる。実施形態によっては、前駆体溶液は、約0.01M〜約1.4Mの金属イオンを全てが溶媒和した形態で含むことがあり、更なる実施形態では約0.05M〜約1.2M、別の実施形態では約0.1M〜約1.0Mの金属イオンを全てが溶媒和した形態で含むことがある。当業者であれば、上記の明示的な範囲内にある金属カチオンの別の範囲も考えられ、本開示の範囲内であることを、認識するであろう。
金属ペルオキソ含有組成物に基づく実施形態の場合、前駆体溶液は、溶液が、少なくとも約2、実施形態によっては少なくとも約5の、放射線感受性配位子対金属カチオンのモル濃度比率を有するように、十分な放射線感受性配位子を含むことがある。アルキル配位子に基づく実施形態の場合、前駆体コーティング溶液は、溶液が、約0.1〜約2の、放射線感受性配位子対金属カチオン、例えばSnのモル濃度比率を有するように、十分な放射線感受性アルキル配位子を含むことがある。この範囲の配位子比率は、適切な化学量論でのSnX、RSnX、又はRSnX前駆体の加水分解によって調製されることができ、前駆体の安定性及び溶解性の制約を受ける。当業者であれば、上記の明示的な範囲内にある別の配位子の範囲も考えられ、本開示の範囲内であることを、認識するであろう。前駆体溶液から形成されるコーティングは、前駆体溶液中のイオンの配位子構造によって影響され、乾燥時に金属の周りの等価な配位子構造になり得るか、又は、配位子構造はコーティング及び/又は乾燥プロセス中に変わることがある。コーティングは一般的に、放射線への曝露によって影響され、パターニング機能を可能にする。金属イオンは一般的に、オキソ/ヒドロキソ配位子に結合されている。一般的に、コーティングは、式(R)MO2−z/2−x/2(OH)(0<(x+z)<4)によって表すことができ、ここで、Rはペルオキソ基、アルキル、又は3〜31個の炭素原子を有するシクロアルキル基であり、アルキル又はシクロアルキル基は、金属、例えばスズに結合されている。実施形態によっては、参照により本明細書に組み込まれる「Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods」と題されたMeyersらによる同時係属の米国特許出願第14/920,107号明細書に記載されているような、分岐アルキル配位子及び/又はアルキル配位子の混合物を使用することが望ましいことがある。
コーティングの後で、一般的にレジストコーティングを乾燥させて溶媒を除去するが、これは加熱を伴うことも伴わないこともある。放射線レジストは、実施形態によっては、放射後の加熱ステップにかけられることがあり、このステップは一般的に、照射されていない材料を金属酸化物に完全に酸化させるのを回避するように、十分に穏やかである。照射後の熱処理が使用される実施形態の場合、照射後の熱処理は、約45℃〜約250℃、付加的な実施形態では約50℃〜約190℃、そしてさらなる実施形態では約60℃〜約175℃の温度で実施され得る。曝露後加熱は、一般的に、少なくとも約0.1分間、さらなる実施形態では約0.5分間〜約30分間、そして付加的な実施形態では約0.75分間〜約10分間実施され得る。当業者は、上記の明確な範囲内の照射後加熱温度および時間の付加的な範囲が考慮され、本開示の範囲内に含まれることを認識するであろう。
ぺルオキソ配位子を有する金属オキソ/ヒドロキソ組成物は一般的に、ネガ型レジストとして使用することができる。例えば、照射されていないコーティング材料を、酸性水溶液又は塩基水溶液を含む現像液を用いて除去することができる。従って、水酸化第4級アンモニウム組成物、例えば、テトラエチルアンモニウム水酸化物、テトラプロピルアンモニウム水酸化物、テトラブチルアンモニウム水酸化物、又はそれらの組み合わせなどが、現像液として望ましい。アルキル配位子を有する金属オキソ/ヒドロキソ組成物は、ポジ型レジスト又はネガ型レジストとして使用することができる。具体的には、照射されていない材料は有機溶媒で可溶であり、照射された材料は酸性水溶液及び塩基水溶液で可溶であり、その結果、潜像の部分を溶解するように選択された溶媒が、現像液の選択を導く。
図7を参照すると、潜像158の現像後、潜像の部分162が除去されて、物理的にパターン形成された構造の一部として、テンプレートハードマスク層154の部分を曝露させる。レジストの物理的なパターンにより、パターン形成されたハードマスク164を形成するための、テンプレートハードマスク層154のエッチングが可能になる。パターン形成されたレジスト層160を有するパターン形成されたハードマスク164が、図8に示されている。所望のハードマスク材料をエッチングするために、プラズマエッチングが一般的に使用される。例えば、プラズマエッチングは、TiNハードマスクに対してはBClベースのプラズマを、SiOハードマスクに対してはCFベースのプラズマを、又は、Siハードマスクに対してはSF/Oベースのプラズマを用いて、行うことができる。
パターン形成されたハードマスク164を形成するためのエッチングに続いて、適切なエッチングを使用してパターン形成されたレジスト層160を除去することができる。一般的に、パターン形成されたレジスト層160を除去するためにウェットエッチングを使用することができるが、任意の適切なエッチングを使用することもできる。結果として得られる構造を、図9及び図10に示す。図9の上面図を参照すると、パターン形成されたハードマスク164を通る穴166が示されている(代表的な穴のみが、参照符号を用いて示されている)。
次いで、穴166は充填材料で充填される。原理上は、充填材料前駆体組成物を送達するために様々な技術を使用することができるが、スピンコーティング、スロットコーティング等が、充填材料前駆体組成物を送達するための著名で適切な方法である。充填材料前駆体組成物を堆積させた後、この構造体を加熱して溶媒を除去し、場合によっては材料を硬化させることがある。特に炭素系材料の場合、窒素又はアルゴンと比べて酸素が不足した雰囲気中で、加熱を行うことがある。加熱は、約55℃〜約275℃の温度で行われることがある。一般的に、加熱は、材料を望ましくない変化したエッチング特性を有する組成物に変換してしまう温度では、行われない。エッチング、化学機械研磨等を使用した平坦化を、任意の焼成ステップの前又は後で、行うことができる。充填材料を硬化させる処理の後、平坦化ステップが行われた場合には、図2のテンプレート構造が形成され、平坦化ステップが行われない場合には、図1のテンプレート構造が形成される。
穴を形成する処理について、図6の構造から図9及び図10の構造への遷移として、直接的な放射線レジストのパターニング、現像、及びハードマスクへのパターン転写という文脈で、上記で概略的に説明した。しかしながら、高品質で小さなピッチの穴を形成するためには、一般的にはより精巧な手順が有用であり得る。周期的な穴の形成のための効率的なパターニング方式について、本明細書で説明する。この処理は一般的に考察されるが、次世代のレジスト、例えば上記で要約したような本出願人によって開発された金属酸化物ベースのレジストなどを用いると、著しく改善された結果を得ることができる。以降の考察で説明される本処理方式とは対照的に、参照により本明細書に組み込まれる「Pre−Patterned Hard Mask for Ultrafast Lithographic Imaging」と題されたBristolらによる米国特許出願第2015/0253667A1号明細書(以降では、Bristol出願と呼ぶ)の図4a〜4fの文脈で概説されている幾分か類似の処理方式を考える。
穴を形成するための望ましい方式は、レジストの耐久性のおかげで格子構造の形成を可能にする、近年開発された金属オキソ/ヒドロキソレジスト組成物の使用に基づいており、この方式については以降で説明する。まず、潜像として周期的な縞模様のパターンを、良好なフィーチャ特性で比較的に迅速に形成することがある。次いで、像を現像することなく、潜像の上にレジストの第2の被覆を塗布することがある。次いで、第2のコーティング層は、同一の周期の又は同一の周期ではない周期的な縞模様を用いてほぼ直交する向きで、良好な解像度及びフィーチャ特性で、同様にパターン形成されることがあり、これは、迅速に行われることができる。潜像の直交平行線模様を現像して、潜像が重なり合っている箇所において下にある材料までレジストを除去する。重なり合っている潜像は、概ね矩形の穴を形成するが、1D又は2Dの周期的なパターンの他のアレイであることもある。残りのレジストは、パターニングプロセスを継続するのに先立って、更に処理されてもされなくてもよい。一旦レジストが現像されると、テンプレートハードマスクがエッチングされて、テンプレートハードマスク層に穴が形成され、パターン形成されたハードマスク層を形成することができる。次いで、残りのレジストを除去することができる。この方式の詳細な考察の後で、穴形成のための更なる既知の技術について要約する。
具体的には、穴形成のためのこの方式では、放射線レジストの2つの概ね直交する向きに沿った周期的なパターニングを使用して、テンプレートハードマスク層をパターン形成することができ、この効率的な処理方式は、図11〜図15に概説される。高解像度で最先端のレジストを用いた直交平行線模様のレジストパターンが、’000特許の図16に示されており、この構造は穴の形成のために適合させることができる。図11を参照すると、初めに照射された構造体200の上面図が示されており、放射線レジストの最上層は、表面全体に渡り交互の縞202、204を有する、はがされた潜像パターンを有する。図12の断面図は、潜像を形成する縞202、204を有するパターン形成された放射線レジストの下の、基板206、バッファハードマスク層208、及びテンプレートハードマスク210間の層の関係を示す。
直交する縞202、204を伴って形成された潜像を現像することなく、別の放射線レジストの層が、構造体の上部にコーティングされ、図11の元の縞とほぼ直交する縞を伴って放射線を用いてパターン形成される。結果として得られる構造体の上面図が図13に示されており、図14及び図15には断面図が示されている。パターン形成された放射線レジストの最上層の潜像は、縞220、222を形成する。縞202、204によって形成される、下にあるパターンを示すために、破線が図13では示されている。各潜像パターンは、照射された縞及び照射されていない縞に一致する選択された縞を含むことができる。潜像の現像によりパターンの選択された縞を除去するように、ポジ型レジスト又はネガ型レジストが上記で挙げられた。レジストの型に関して選択されるシステムに関わりなく、積層された潜像の現像により、像の重なり部分224における像のみがテンプレートハードマスク210まで除去され、その結果、現像液は潜在的なパターン形成されたレジストの両方の層を除去する。図13を参照符号で満杯にするのを回避するために、図13の1つの代表的な部分のみが224について示されているが、縞222が縞204と重なる部分には、この重なり部分が等しく当てはまる。結果として得られる直交平行線模様は、重なり部分において穴を形成する。
現像されたパターンを、図16〜図18に示す。図16の上面図は、現像液によって縞222が除去された所の溝230と、重なり合う現像液の箇所の穴232とを示す。再度、図面を簡略化するために、1つの代表的な穴232のみが参照符号を用いて示されているが、この図面には25個の穴が示されている。図17の断面図では、構造体の最上部は溝230に沿っており、穴232が、テンプレートハードマスク210の一部を表面に露出させている。図18の断面図を参照すると、穴232は、パターン形成されたレジストの2つの層202、220を通って延在して示されている。
図16〜図18の構造は、テンプレートハードマスク210をエッチングするためのエッチングパターンを提供する。テンプレートハードマスク210を、上述したようにプラズマエッチングして、レジストのパターンをテンプレートハードマスク210に転写することができる。結果として得られるエッチングされた構造が図19に示されており、これは、図18と類似した図になっている。図19を参照すると、穴240が、レジスト層202、220を通り、かつパターン形成されたハードマスク242を通って、バッファハードマスク層208まで、下に延びている。テンプレートハードマスク210をエッチングしてパターン形成されたハードマスク242を形成した後、残りのパターン形成されたレジストを、一般的には適切なウェットエッチングを用いて、除去することができる。結果として得られるパターン形成された構造250を、図20及び図21に示す。パターン形成された構造250は、表面からバッファハードマスク層208までパターン形成されたハードマスクを通って延びる穴252を有する。図1〜図3の構造を形成するために、平坦化の有り無しに関わらず、充填材料をパターン形成された構造250と関連付けることができる。充填材料のための適切な堆積プロセス、及び充填材料として使用するための組成物については、上述した。
パターニングを行うために使用される放射線パターン形成可能レジストに関わりなく、解像度を増幅するための、即ち、フィーチャサイズを本質的に縮小するための技術が開発されている。そのような増幅技術を効果的に使用して、後に続く処理のためにパターンサイズを縮小することができる。例えば、放射線パターン形成済フィーチャ内に配置されたブロックコポリマーを使用した技術について、参照により本明細書に組み込まれる「Pre−Patterned Hard Mask for Ultrafast Lithographic Imaging」と題されたBristolらによる米国特許第9,005,875B2号明細書に記載されている。類似の方式を使用して、フィーチャ、例えば、図11〜21に示す処理における溝204、230、のサイズを低減することができる。
Bristolの特許における第1の穴の形成手順は、Bristolの図2a〜図2nの文脈で説明されるように、溝の形成と、溝の内部をベースとしたパターン増幅とを含む。Bristolの方式では、放射線ベースのリソグラフィを使用して形成された穴の規則的なパターンを、ポリスチレンブロックを有するブロックコポリマーなどのブロックコポリマー、アクリレートブロック、ポリアルキルメタクリレートブロック、スチレン−b−ブタジエンブロックなどのコポリマーブロック等で充填する。発想としては、ブロックコポリマーが、ハードマスクの細孔内で充填材を配向させて、充填材の残りの組成物とは異なる化学組成物を有するコアを形成する、ということである。Bristolは、スピンコーティングを用いてコポリマーを堆積させること、及びブロックコポリマーを200℃〜260℃でアニーリングすることを説明している。内側のブロックを除去するために、Bristolは、ブロックコポリマーを放射線に曝露させて、ポリマーのブロック構造に従って穴をパターン形成することを説明している。Bristolのこのプロセスは、第1のレジストから、第2のブロックコポリマーのレジストを支持する上部ハードマスク層へのパターン転写を可能にする、追加のハードマスク層を含む。次いで、第2のレジスト(ブロックコポリマー)のパターンは、下側のパターン形成されたハードマスクに転写され、この下側のパターン形成されたハードマスクは、更なる処理のために、穴のパターンを受け入れる。これらのレジスト及び上部ハードマスクは、更なる処理のために除去される。
Bristolの特許の図2m及び図2nの構造は、上述した手順と同様のハードマスク材料を通る穴を提供するが、本明細書でのプロセスでは、Bristolの図2mの構造にバッファハードマスク層が追加されているという点が異なる。基板、バッファハードマスク層、及びパターン形成されたハードマスク層を有する、結果として得られる構造は、上述した図10と一致する。Bristolの図を参照してBristolの特許の手順を手短に要約すると、1)フォトレジストの最上層でパターニングするための構造を形成し(図2a及び図2b)、フォトレジストを通る溝をパターン形成し(図2c及び図2d)、上部ハードマスク層を通る溝をエッチングしてハードマスク層にパターンを転写し(図2e及び図2f)、自己集合性のブロックコポリマーを含むフォトレジストで溝を充填し(図2g及び図2h)、第2のフォトレジストを処理してブロックコポリマー溝充填材から円筒形の部分を除去し(図2i及び図2j)、下側のハードマスク層をエッチングして穴のパターンを転写し(図2k及び図2l)、フォトレジスト組成物と上部ハードマスク層の両方を除去する(図2m及び図2n)。
この章で説明した通りに形成されたテンプレートは、テンプレートパターンに基づく効率的な特定のパターニングのための基礎を提供する。後に続く特定のパターニングの詳細な手順について、以降の章で説明する。
テンプレートを使用した選択的なパターニング
テンプレート構造を形成するために使用される手順に関わらず、この構造を、パターニングの次の段階のために効果的に使用して、テンプレートの規則的な構造に基づく特定の構造を形成することができる。このパターニングプロセスは、テンプレートの高エッチングコントラスト構成材料と共に高エッチングコントラスト放射線レジストを頼りにしている。特定のターゲットパターンを得るために行われるパターニングは、低解像度に基づいていながら、テンプレートの高解像度フィーチャを利用することができる。処理のこの段階でのレジストのパターニングは、テンプレートのフィーチャを選択的に曝露させるのに十分な解像度を有するべきである。次いで、後に続くエッチングにより、テンプレート内の選択されたフィーチャを、更なる処理のために曝露することができる。エッチングプロセスは、下にあるテンプレートパターンの選択された部分を用いて、より低解像度でパターン形成されたレジストからのパターン転写を改善するように調節されることができる。この処理は、解像度を得るための全般的なプロセスに対して、著しい効率をもたらす。
テンプレート構造の最上部の層として高エッチングコントラストの放射線レジストを使用して、選択的なパターニングが行われる。適切な放射線レジストとしては、例えば、放射線感受性配位子を有する金属オキソ/ヒドロキソ組成物が挙げられる。上述したように、テンプレート構造自体が、適切なエッチングコントラスト要素、具体的には、パターン形成されたハードマスク、充填材料、及びバッファハードマスクを用いて設計される。この処理のために、これらの高エッチングコントラストの状態は、放射線レジストを更に含むように拡張される。高エッチングコントラストにより、より低解像度のパターニングのせいで充填材料を完全に曝露させることなく、選択された充填材料を除去することが可能になる。より低解像度のパターニングは、より低い放射線ドーズ量で、かつ/又はより迅速に、行われることができる。
高エッチングコントラスト放射線レジストの選択的パターニングのために、高解像度パターニングプロセスを使用することができるが、解像度は、必ずしもテンプレートの解像度での位置合わせをすることなく、テンプレートパターン内の構造を選択するのに十分であるように選択することができる。曝露されたレジスト層の現像後に十分な位置合わせを行うと、エッチングステップにより、テンプレートの選択されたフィーチャに関連した充填材料を除去することができる。例えば、曝露された充填材料のエッチング及び残りのレジストの除去を含む更なる後続の処理の後、結果として得られるエッチングされた構造は、テンプレートと同じ解像度で特定のパターニングステップを行うことなく、テンプレートの解像度を有し、これにより時間及び対応するコストを節約することができる。このプロセスについて、以降の図の文脈において詳細に説明する。
図22を参照すると、パターニング構造300は、テンプレート302及び放射線レジスト304を含む。テンプレート302は、基板310、バッファハードマスク312、パターン形成されたハードマスク314、及びパターン形成されたハードマスク314内の穴の内部に充填材料316を含む。構造及び組成物の範囲並びにテンプレート302のための形成プロセスについては、上記の以前の章で詳細に説明した。所望の処理の利点を達成するために、放射線レジストは、パターン形成されたハードマスク及び充填材料に対して高エッチング耐性を有することがある。適切な放射線レジストとしては、例えば、金属オキソ/ヒドロキソベースのレジスト組成物が挙げられる。これらの組成物については、上記でより詳細に説明しており、この考察はここに組み込まれる。更に、そのような金属オキソ/ヒドロキソレジストは、EUVリソグラフィ波長、即ち、約13.5nmで特に高い吸光度を有するように設計されることがある。これは、従来のレジストよりも、少なくとも3倍高い吸光度を提供し、場合によっては、4倍、更には5倍高い吸光度を提供する。そのようなより大きな吸光度は、光子ショットノイズに起因するパターン形成のばらつきを直接的に低減することができる。
放射線レジスト304は一般的に、約1ミクロン以下、更なる実施形態では約250nm以下、別の実施形態では約5nm〜約50nmの平均厚さを有することがある。当業者であれば、上記の明示的な範囲内にある別の範囲の放射線レジストの厚さも考えられ、本開示の範囲内であることを、認識するであろう。放射線レジストは、前駆体組成物として堆積される。レジスト前駆体組成物は、例えば適切なコーティングプロセス、例えば、スピンコーティング、スプレーコーティング、ナイフエッジコーティング、又は他の適切なコーティングプロセスなどを使用して、堆積させることができる。
適切な様々な放射線レジストについては、テンプレートの形成の文脈において上記で説明した。一般的に、これらのレジストは、テンプレートに基づいて形成される特定のパターンの処理のために、同様に使用することができる。放射線に不安定な配位子を有する安定化された金属酸化物に基づく放射線レジストは、これらのレジストで利用可能な高エッチングコントラスト、並びにそれらの強い放射線吸光度及び望ましい溶液処理特徴のおかげで、特に望ましい結果をもたらす。幾つかの実施形態では、金属オキソ/ヒドロキソペルオキソ組成物及びアルキル配位子を有する金属オキソ/ヒドロキソ組成物に基づく放射線レジストの特定のクラスが、上記で要約されている。アルキル配位子の実施形態の場合、スズベースの組成物に特に関心が持たれ、ペルオキソ配位子組成物の場合は、ハフニウム及びジルコニウムが特に関心の持たれる金属であるが、選択された放射線の望ましい吸光度を有する他の金属を効果的に使用することもできる。液体は、乾燥後に所望の層厚さをもたらすように、適切な塗布量で塗布される。上述した、これらの組成物の特定の実施形態についての更なる詳細、並びにこれらの組成物の詳細を提供する参考文献は、放射線レジストのこの使用のために、参照により同様に組み込まれる。
コーティングの後で、一般的にレジストコーティングを乾燥させて溶媒を除去するが、これは加熱を伴うことも伴わないこともある。放射線レジストは、実施形態によっては、放射後の加熱ステップにかけられることがあり、このステップは一般的に、材料を金属酸化物に完全に変換するのを回避するように、十分に穏やかである。放射後熱処理が使用される実施形態の場合、放射後熱処理は、約45℃〜約250℃の温度で、別の実施形態では約50℃〜約190℃で、更なる実施形態では約60℃〜約175℃の温度で行われることがある。曝露後加熱が、一般的に、少なくとも約0.1分間の間、更なる実施形態では約0.5分間〜約30分間、別の実施形態では約0.75分間〜約10分間の間、行われることがある。当業者であれば、上記の明示的な範囲内にある別の範囲の放射後加熱温度及び時間も考えられ、本開示の範囲内であることを、認識するであろう。
ぺルオキソ配位子を有する金属オキソ/ヒドロキソ組成物は一般的に、ネガ型レジストとして使用することができる。例えば、照射されていないコーティング材料を、酸性水溶液又は塩基水溶液を含む現像液を用いて除去することができる。従って、水酸化第4級アンモニウム組成物、例えば、テトラエチルアンモニウム水酸化物、テトラプロピルアンモニウム水酸化物、テトラブチルアンモニウム水酸化物、又はそれらの組み合わせなどが、現像液として望ましい。アルキル配位子を有する金属オキソ/ヒドロキソ組成物は、ポジ型レジスト又はネガ型レジストとして使用することができる。具体的には、照射されていない材料は有機溶媒で可溶であり、照射された材料は酸性水溶液及び塩基水溶液で可溶であり、その結果、潜像の部分を溶解するように選択された溶媒が、現像液の選択を導く。
放射線レジストは、UV、深紫外線、及び極端紫外線などの放射線の範囲で首尾よくパターン形成することができる。図23〜図30の文脈において説明する以降のパターニングプロセスの場合、これらの構造を形成するための組成物については、上記で詳細に説明しており、対応する構造に対して、参照により以降の考察に組み込まれる。パターン形成され現像された構造330が、図23に概略的に示されている。パターン形成されたレジスト332は、充填材料334、336を曝露させながら、充填材料338、340、342上の被覆を維持する。パターン形成され現像された構造330は、エッチングして曝露された充填材料を除去するように、準備される。パターン形成され現像された構造350の一実施形態が図24の上面図に示されており、この図では、放射線レジストはポジ型レジストとして使用されている。パターン形成されたハードマスク中の選択された数の穴が、パターン形成され現像された構造350において曝露されている。パターン形成され現像された構造352の一実施形態が図25の上面図に示されており、この図では、放射線レジストはネガ型レジストとして使用されている。上面図のより暗い部分は、現像後の残りのレジストを表わしており、より明るい部分は、部分的に又は完全に曝露された穴である。残りのレジストは、パターニングの目的のために覆われたままであるように意図された穴を覆っている。図24及び図25の上面図における中位の陰は、パターン形成されたハードマスクを表す。
高エッチングコントラストのレジストがパターニングのために使用される場合、曝露された穴及び覆われた穴と現像されたレジストとの位置合わせは、完全に整合される必要はない。従って、レジスト層パターニングの解像度は、パターン形成されたハードマスクを形成する穴の解像度よりも著しく低くすることができる。全般的な概念が、図26〜図28で更に詳しく述べられる。図26を参照すると、現像されたレジストを有する構造360は、基板362、バッファハードマスク364、パターン形成されたハードマスク366、充填材料368、370、372、374、376、378、及びパターン形成されたレジスト380を含む。パターン形成されたレジスト380は、充填材料368、370へのあまり決定的ではない開口部を形成し、これは、エッチング中に充填材料のかなりの量が除去されるのを防ぎ、また充填材料372は完全に覆われたままであり、その結果、充填材料372はエッチング中に除去されない。パターン形成されたレジスト380は、充填材料がエッチング中に実質的に全て除去されるように、充填材料374、376の十分な量を露出させる極めて決定的な開口部を形成する。パターン形成されたレジスト380は、充填材料378がエッチング中に除去されるように、充填材料378をほぼ完全に露出させて残す。
エッチング後の構造を図27に示す。エッチング後、充填材料372は根本的に完全に無傷のままである。充填材料368、370(図26)は、あまり決定的ではない開口部のせいでわずかにエッチングされて、実質的に無傷の充填材料390、392を形成する。充填材料374、376、378は、実質的に全て除去されて、穴394、396、398を形成する。図27は、低解像度レジストパターニングを用いた図23の構造に類似しており、金属酸化物ベースのレジストを使用した大きなエッチングコントラストを利用する。エッチング後、残りのパターン形成されたレジスト380を、一般的にウェットエッチングを用いて除去することができる。結果として得られるパターン形成された中間構造400を、図28に示す。パターン形成された中間構造400は、バッファハードマスク364へのパターン転写を可能にする、選択された露出された穴を含む。パターン形成されたハードマスク及び充填材料を実質的に無傷で残しながら、バッファハードマスク層に対して限定的なプラズマエッチングなどの適切なエッチングを使用すると、図29の構造402がもたらされる。構造402は、基板362上にパターン形成されたバッファハードマスク層404を含む。パターン形成されたハードマスク366、充填材料372、及び実質的に無傷の充填材料390、392は、実質的に変化しない。拡張された穴406、408、410が、バッファハードマスクのエッチングから得られる。次いで、1つ又は複数の更なるエッチングステップを行って、パターン形成されたハードマスク366及び残りの充填材料372、390、392を除去し、図30に示すパターン形成された構造420を形成することができる。次いで、パターン形成された構造420は、材料の堆積、基板のエッチング、基板の露出した部分の処理、又は他のプロセスステップなどの、更なる処理に対して準備が整う。
低減される解像度の程度は、露出した穴の充填材料を除去するためのエッチングプロセスに、ある程度依存することがある。高コントラストエッチングの差別化を用いると、非常に積極的なエッチングを行って充填材料を除去して、根本的に全ての充填材料の除去をもたらす極めて決定的な開口部の範囲を拡張することができる。エッチングプロセスは、例えば、プラズマ流量、及びプラズマ源と基板との相対的な位置を変更することにより、焦点をぼかし、完全に露出していない充填材料のエッチングを手助けすることができる。充填材が無傷のままであることを意図したあまり決定的ではない開口部は、充填材料の望ましくない除去を防ぐように制御されるべきであり、充填材料の望ましくない除去は、より積極的なエッチングではより懸念される事項になり得る。それにも関わらず、これらのパラメータの適切なバランスは、本明細書の教示に基づいて、当業者によって効果的に達成することができる。より低解像度のパターニングは、より短い期間に供給されるより少ない放射線ドーズ量で達成することができる。
上記の実施形態は例示的なものであり、限定されることは意図されない。付加的な実施形態は特許請求の範囲の範囲内である。さらに、本発明は特定の実施形態に関して記載されたが、当業者は、本発明の趣旨および範囲から逸脱することなく形態および詳細の変化がなされ得ることを認識するであろう。上記文献の参照による任意の援用は、本明細書中の明確な開示に反する主題が決して援用されないように限定される。特定の構造、組成物、及び/又はプロセスが、構成要素、要素、原料、又は他の仕切りと共に本明細書で説明される範囲では、本明細書の開示は、特定の実施形態、特定の構成要素、要素、原料、他の仕切り又はそれらの組み合わせを含む実施形態、並びに、特段に断りの無い限り、考察において提案したように、主題の基本的な性質を変更しない追加の特徴を含むことができる、そのような特定の構成要素、原料、又は他の仕切り、又はそれらの組み合わせから本質的になる実施形態を包含するものと理解されたい。

Claims (22)

  1. 基板上にフィーチャをパターン形成するための方法であって、
    構造体上の放射線感受性層を選択的にパターン形成された放射線に曝露するステップであって、前記構造体は、前記基板の表面を覆うバッファハードマスク層上のテンプレートハードマスク材料を通る周期的にパターン形成された間隙内部に充填材料を含む、予めパターン形成されたテンプレート構造上に、前記放射線感受性層を含み、前記放射線感受性層は、前記選択的にパターン形成された放射線によって曝露されて、前記放射線感受性層内に潜像を有する、曝露された放射線感受性層を形成する、ステップと、
    前記曝露された放射線感受性層を現像して、前記潜像に基づいてパターン形成された層を有するパターン形成された構造を形成するステップと、
    前記パターン形成された構造をエッチングして、前記充填材料を有する前記パターン形成された層を通る穴の重なりのおかげで、前記エッチングプロセスを受けやすくなっている前記充填材料を、選択的に除去するステップと、を含む、方法。
  2. 前記放射線感受性層は、無機オキソ/ヒドロキソベースの組成物を含む、請求項1に記載の方法。
  3. 前記放射線感受性層は、ペルオキソ及び/又はアルキル配位子を有する金属オキソ/ヒドロキソ組成物を有する放射線感受性材料を含む、請求項1に記載の方法。
  4. 前記曝露するステップは、約10.0mJ/cm以下のドーズ量を有する電磁放射線を用いて行われる、請求項1〜3のいずれか一項に記載の方法。
  5. 前記テンプレートハードマスク材料は、酸化ケイ素、窒化チタン、窒化タンタル、又は窒化ケイ素を含み、前記充填材料は、炭素の豊富な組成物又はシリカガラス組成物を含み、前記充填材料は、前記テンプレートハードマスク材料の表面とほぼ同じ高さになっている、請求項1〜4のいずれか一項に記載の方法。
  6. 前記バッファハードマスク層は、窒化チタン、窒化タンタル、窒化ケイ素、又は酸化ケイ素を含む、請求項1〜5のいずれか一項に記載の方法。
  7. 前記予めパターン形成されたテンプレート構造の前記周期的にパターン形成された間隙は、約100nm以下の平均ピッチを有する、請求項1〜6のいずれか一項に記載の方法。
  8. 前記現像するステップは、約8秒間〜約15分間の間、前記表面に曝された塩基水溶液又は酸性水溶液を用いて行われる、請求項1〜7のいずれか一項に記載の方法。
  9. 前記現像するステップは有機溶媒を用いて行われる、請求項1〜7のいずれか一項に記載の方法。
  10. 前記エッチングするステップは、前記充填材料に対しては比較的に高いエッチングレートを有し、前記テンプレートハードマスク、前記バッファハードマスク、及び前記感光性層に対しては比較的に低いエッチングレートを同時に有する、プラズマエッチングを用いて行われる、請求項1〜9のいずれか一項に記載の方法。
  11. 前記エッチングするステップは、酸素の豊富な又は酸素/窒素の豊富なエッチング環境で行われる、請求項10に記載の方法。
  12. 予めパターン形成されたテンプレート構造を形成するステップであって、
    組成的に積層された積層体の表面上で予備放射線感受性層を周期的な放射線パターンに曝露するステップであって、前記組成的に積層された積層体は、基板と、前記基板の表面上のバッファハードマスク層と、前記バッファハードマスク層の前記基板と反対側上の前記テンプレートハードマスク材料の層と、を含み、前記予備放射線感受性層、前記バッファハードマスク層、及び前記テンプレートハードマスク材料は差別的なエッチング特性を有する、ステップと、
    前記予備放射線感受性層を、曝露後に現像して、パターン形成された曝露された構造を形成するステップと、
    前記パターン形成された曝露された構造をエッチングして、周期的にパターン形成されたテンプレート材料を形成するステップと、
    前記周期的にパターン形成されたテンプレート材料を通る穴の内部に充填材料を堆積させて、前記予めパターン形成されたテンプレート構造を形成するステップと、
    を含むステップを更に含む、請求項1〜11のいずれか一項に記載の方法。
  13. 予めパターン形成されたテンプレート構造であって、基板と、約2nm〜約250nmの平均厚さを有する前記基板の表面上のバッファハードマスク層と、前記バッファハードマスク層上の前記基板と反対側上のテンプレートハードマスク材料を通る周期的なパターンの間隙を有する前記テンプレートハードマスク材料と、前記テンプレート材料の前記周期的なパターンによって形成された間隙を充填する充填材料と、感光性無機オキソ/ヒドロキソベースの組成物の層と、を含み、前記バッファハードマスク層は前記基板とは異なる無機材料を含み、前記テンプレートハードマスク材料は前記バッファハードマスク材料とは異なる材料を含み、前記充填材料は前記テンプレートハードマスク材料とは異なっており、前記バッファハードマスクは、窒化チタン、窒化タンタル、窒化ケイ素、又は酸化ケイ素を含む、予めパターン形成されたテンプレート構造。
  14. 単一のエッチングプロセスの場合、前記充填材料は、前記感光性層、前記テンプレートハードマスク、及び前記バッファハードマスクの各々よりも少なくとも5倍速くエッチングされることができる、請求項13に記載の予めパターン形成されたテンプレート構造。
  15. 単一のエッチングプロセスの場合、前記テンプレートハードマスク材料は、前記充填材料及び前記バッファハードマスクよりも少なくとも5倍速くエッチングされることができる、請求項13に記載の予めパターン形成されたテンプレート構造。
  16. 前記バッファハードマスク層は、窒化チタン、窒化タンタル、窒化ケイ素、酸化ケイ素、又はそれらの組み合わせを含み、前記テンプレートハードマスク材料は窒化チタン、窒化タンタル、窒化ケイ素、又は酸化ケイ素を含み、前記バッファハードマスク層と前記テンプレートハードマスク材料とは同時に同一ではなく、前記充填材料は炭素の豊富な組成物又はシリカガラス組成物を含む、請求項13〜15のいずれか一項に記載の予めパターン形成されたテンプレート構造。
  17. 前記充填材料は、テンプレートハードマスク表面に沿って、前記テンプレートハードマスク材料とほぼ同じ高さである、請求項13〜16のいずれか一項に記載の予めパターン形成されたテンプレート構造。
  18. 前記オキソ/ヒドロキソベースの組成物は、ペルオキソ配位子及び/又はアルキル配位子を含む、請求項13〜17のいずれか一項に記載の予めパターン形成されたテンプレート構造。
  19. 予めパターン形成されたテンプレート構造を形成するための方法であって、
    組成的に積層された積層体の表面上で放射線感受性層を周期的な放射線パターンに曝露するステップであって、前記組成的に積層された積層体は、表面を有する基板と、前記基板の表面上のバッファハードマスク層と、前記バッファハードマスク層の前記基板と反対側上のテンプレートハードマスク材料の層と、を含み、前記放射線感受性組成物、前記バッファハードマスク層、及び前記テンプレートハードマスク材料は差別的なエッチング特性を有し、前記バッファハードマスク層は約2nm〜約250nmの平均厚さを有する、ステップと、
    前記放射線感受性層を、曝露後に現像して、パターン形成された曝露された構造を形成するステップと、
    前記パターン形成された曝露された構造をエッチングして、前記テンプレートハードマスク材料を通る穴を有する周期的にパターン形成されたテンプレート材料を形成するステップと、
    前記パターン形成されたテンプレート材料を通る穴の内部に充填材料を堆積させるステップであって、前記充填材料は、前記バッファハードマスク層及び前記テンプレートハードマスク材料に対して差別的なエッチング特性を有する、ステップと、を含む方法。
  20. 前記充填材料が、前記パターン形成されたテンプレート材料の表面と実質上同じ高さになるように、前記充填材料を平滑化するステップを更に含む、請求項19に記載の方法。
  21. 前記バッファハードマスク層は、窒化チタン、窒化タンタル、窒化ケイ素、酸化ケイ素、又はそれらの組み合わせを含み、前記テンプレートハードマスク材料は窒化チタン、窒化タンタル、窒化ケイ素、又は酸化ケイ素を含み、前記バッファハードマスク層と前記テンプレートハードマスク材料とは同時に同一ではなく、前記充填材料は炭素の豊富な組成物又はシリカガラス組成物を含む、請求項19又は請求項20に記載の方法。
  22. 前記曝露するステップは、少なくとも約10.0mJ/cmのドーズ量を有する電磁放射線又はeビーム放射線を用いて行われ、前記現像するステップは酸性水溶液又は塩基水溶液を用いて行われ、前記エッチングするステップはプラズマを用いて行われる、請求項19〜21のいずれか一項に記載の方法。
JP2018547903A 2016-03-11 2017-03-10 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス Active JP6993982B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662306979P 2016-03-11 2016-03-11
US62/306,979 2016-03-11
PCT/US2017/021769 WO2017156388A1 (en) 2016-03-11 2017-03-10 Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates

Publications (3)

Publication Number Publication Date
JP2019514042A true JP2019514042A (ja) 2019-05-30
JP2019514042A5 JP2019514042A5 (ja) 2021-08-12
JP6993982B2 JP6993982B2 (ja) 2022-02-04

Family

ID=59786564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018547903A Active JP6993982B2 (ja) 2016-03-11 2017-03-10 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス

Country Status (6)

Country Link
US (2) US10649328B2 (ja)
JP (1) JP6993982B2 (ja)
KR (1) KR102394042B1 (ja)
CN (1) CN108780739B (ja)
TW (1) TWI721125B (ja)
WO (1) WO2017156388A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021515402A (ja) * 2018-03-02 2021-06-17 東京エレクトロン株式会社 パターンを層に転写する方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
GB201517273D0 (en) 2015-09-30 2015-11-11 Univ Manchester Resist composition
US10649328B2 (en) 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
KR102250154B1 (ko) * 2016-09-13 2021-05-10 구글 엘엘씨 포토레지스트 현상액에 의한 식각을 방지하는 버퍼층
US10217633B2 (en) * 2017-03-13 2019-02-26 Globalfoundries Inc. Substantially defect-free polysilicon gate arrays
WO2019195422A1 (en) * 2018-04-03 2019-10-10 Tokyo Electron Limited Subtractive interconnect formation using a fully self-aligned scheme
WO2019203926A1 (en) * 2018-04-16 2019-10-24 Applied Materials, Inc. Multi stack optical elements using temporary and permanent bonding
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR20210095218A (ko) * 2018-12-20 2021-07-30 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US11886116B2 (en) * 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
CN113937182A (zh) * 2020-07-13 2022-01-14 中国科学院理化技术研究所 一种具有柔性基底的尺寸可控的氧化锌基光电器件及其制备方法
US20220199406A1 (en) * 2020-12-17 2022-06-23 Applied Materials, Inc. Vapor deposition of carbon-doped metal oxides for use as photoresists
US20220262625A1 (en) * 2021-02-18 2022-08-18 Applied Materials, Inc. Chemical vapor condensation deposition of photoresist films
CN117364235B (zh) * 2023-12-07 2024-03-26 度亘核芯光电技术(苏州)有限公司 选区外延生长方法及其中使用的掩膜结构

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003303824A (ja) * 2002-04-12 2003-10-24 Sony Corp 半導体装置の製造方法
JP2005156576A (ja) * 2003-09-16 2005-06-16 Macronix Internatl Co Ltd 集積回路の製造における位置合わせ精度条件を緩和する方法
JP2007281428A (ja) * 2006-02-13 2007-10-25 Asml Netherlands Bv デバイス製造方法およびコンピュータプログラム
JP2014239191A (ja) * 2013-06-10 2014-12-18 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2016530565A (ja) * 2013-08-22 2016-09-29 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5270265A (en) * 1992-09-01 1993-12-14 Harris Corporation Stress relief technique of removing oxide from surface of trench-patterned semiconductor-on-insulator structure
JP3198310B2 (ja) 1993-01-06 2001-08-13 株式会社ニコン 露光方法及び装置
EP1305824A4 (en) 2000-06-06 2007-07-25 Univ Fraser Simon METHOD FOR MANUFACTURING ELECTRONIC MATERIALS
US7270886B2 (en) 2000-10-12 2007-09-18 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
US6368982B1 (en) * 2000-11-15 2002-04-09 Advanced Micro Devices, Inc. Pattern reduction by trimming a plurality of layers of different handmask materials
US20020157418A1 (en) 2001-03-19 2002-10-31 Rahul Ganguli Process for reducing or eliminating bubble defects in sol-gel silica glass
US7160746B2 (en) 2001-07-27 2007-01-09 Lightwave Microsystems Corporation GeBPSG top clad for a planar lightwave circuit
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US7348281B2 (en) 2003-09-19 2008-03-25 Brewer Science Inc. Method of filling structures for forming via-first dual damascene interconnects
KR100583957B1 (ko) * 2003-12-03 2006-05-26 삼성전자주식회사 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
JP4839723B2 (ja) 2005-08-10 2011-12-21 富士電機株式会社 保護膜形成方法およびその保護膜を備えた磁気記録媒体
JP4699140B2 (ja) 2005-08-29 2011-06-08 東京応化工業株式会社 パターン形成方法
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070166648A1 (en) 2006-01-17 2007-07-19 International Business Machines Corporation Integrated lithography and etch for dual damascene structures
US20070190762A1 (en) 2006-02-13 2007-08-16 Asml Netherlands B.V. Device manufacturing method and computer program product
KR100790999B1 (ko) * 2006-10-17 2008-01-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
US8907456B2 (en) * 2007-03-21 2014-12-09 Olambda, Inc. Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
CN101883688A (zh) 2007-11-16 2010-11-10 Ekc技术公司 用来从半导体基板除去金属硬掩模蚀刻残余物的组合物
KR100933868B1 (ko) * 2008-03-10 2009-12-24 주식회사 하이닉스반도체 마스크 패턴 형성 방법
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5558327B2 (ja) * 2010-12-10 2014-07-23 株式会社東芝 パターン形成方法、半導体装置の製造方法およびテンプレートの製造方法
KR20120092950A (ko) 2011-02-14 2012-08-22 에스케이하이닉스 주식회사 리소그래피-리소그래피-식각 공정에서의 오버레이 버니어 형성 방법
KR101732936B1 (ko) * 2011-02-14 2017-05-08 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
US9684234B2 (en) 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
US8409965B2 (en) * 2011-04-26 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for LED with nano-patterned substrate
JP2012253056A (ja) * 2011-05-31 2012-12-20 Toshiba Corp 半導体装置の製造方法
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
SG10201607603VA (en) 2011-10-10 2016-11-29 Brewer Science Inc Spin-on carbon compositions for lithographic processing
WO2013096459A1 (en) * 2011-12-19 2013-06-27 Molecular Imprints, Inc. Fabrication of seamless large area master templates for imprint lithography
US8551690B2 (en) * 2012-01-20 2013-10-08 Micron Technology, Inc. Methods of forming patterns
US8916337B2 (en) * 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
WO2013158527A1 (en) * 2012-04-16 2013-10-24 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
JP6028384B2 (ja) * 2012-05-07 2016-11-16 大日本印刷株式会社 ナノインプリントリソグラフィ用テンプレートの製造方法
US8647981B1 (en) * 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
US9679095B1 (en) 2013-02-19 2017-06-13 Mentor Graphics, A Siemens Business Layout decomposition for multiple patterning lithography
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
US9176373B2 (en) 2013-07-31 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for decomposition of a single photoresist mask pattern into 3 photoresist mask patterns
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9760008B2 (en) 2013-12-05 2017-09-12 Tokyo Electron Limited Direct current superposition freeze
US20150234272A1 (en) 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
TWI545622B (zh) 2014-02-23 2016-08-11 東京威力科創股份有限公司 藉由交叉多重圖案化層以增加圖案密度的方法
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
KR102204773B1 (ko) 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10649328B2 (en) 2016-03-11 2020-05-12 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
TWI759147B (zh) 2016-08-12 2022-03-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
US11098070B2 (en) 2017-11-20 2021-08-24 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
TW202344939A (zh) 2019-04-12 2023-11-16 美商英培雅股份有限公司 對經顯影圖案層進行處理的方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003303824A (ja) * 2002-04-12 2003-10-24 Sony Corp 半導体装置の製造方法
JP2005156576A (ja) * 2003-09-16 2005-06-16 Macronix Internatl Co Ltd 集積回路の製造における位置合わせ精度条件を緩和する方法
JP2007281428A (ja) * 2006-02-13 2007-10-25 Asml Netherlands Bv デバイス製造方法およびコンピュータプログラム
JP2014239191A (ja) * 2013-06-10 2014-12-18 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2016530565A (ja) * 2013-08-22 2016-09-29 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021515402A (ja) * 2018-03-02 2021-06-17 東京エレクトロン株式会社 パターンを層に転写する方法
JP7164773B2 (ja) 2018-03-02 2022-11-02 東京エレクトロン株式会社 パターンを層に転写する方法

Also Published As

Publication number Publication date
US20170261850A1 (en) 2017-09-14
CN108780739A (zh) 2018-11-09
KR102394042B1 (ko) 2022-05-03
TW201801144A (zh) 2018-01-01
CN108780739B (zh) 2023-09-15
KR20180116438A (ko) 2018-10-24
JP6993982B2 (ja) 2022-02-04
TWI721125B (zh) 2021-03-11
US10649328B2 (en) 2020-05-12
US11347145B2 (en) 2022-05-31
WO2017156388A1 (en) 2017-09-14
US20200225578A1 (en) 2020-07-16

Similar Documents

Publication Publication Date Title
US11347145B2 (en) Pre-patterned lithography templates
CN107112212B (zh) 使用接枝聚合物材料图案化基底
US10538859B2 (en) Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
JP5290204B2 (ja) 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
JP6045504B2 (ja) 側壁像転写ピッチダブリング及びインライン限界寸法スリミング
CN107210197A (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
JP6316788B2 (ja) レリーフ画像形成方法
JP6196739B2 (ja) 原子層堆積を用いずに自己整合ダブルパターニングを行う方法
JP6683342B2 (ja) イメージ反転、誘導自己組織化、および選択的堆積を補助するための、サブ解像度開口部の使用
US11187836B2 (en) Method of building a 3D functional optical material layer stacking structure
JP2012519959A (ja) パターンを形成する方法
JP6534959B2 (ja) 有機膜の形成方法及び半導体装置用基板の製造方法
KR20060009227A (ko) 반도체장치의 제조방법
CN111133380B (zh) 在氮化硅上的光致抗蚀剂图案化
JPH08328265A (ja) 微細パターン形成方法
JP2005236179A (ja) パターン形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180912

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200131

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210622

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20210622

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211210

R150 Certificate of patent or registration of utility model

Ref document number: 6993982

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150