CN107210197A - 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案 - Google Patents

用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案 Download PDF

Info

Publication number
CN107210197A
CN107210197A CN201680009251.3A CN201680009251A CN107210197A CN 107210197 A CN107210197 A CN 107210197A CN 201680009251 A CN201680009251 A CN 201680009251A CN 107210197 A CN107210197 A CN 107210197A
Authority
CN
China
Prior art keywords
lifting
patterns
domain
fabricated patterns
given
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680009251.3A
Other languages
English (en)
Other versions
CN107210197B (zh
Inventor
郑雅如
M·芝奥
G·辛格
A·弗里兹
D·P·桑德斯
蔡欣妤
M·布林克
M·古罗恩
刘其俊
G·多尔克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN107210197A publication Critical patent/CN107210197A/zh
Application granted granted Critical
Publication of CN107210197B publication Critical patent/CN107210197B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/18Processes for applying liquids or other fluent materials performed by dipping
    • B05D1/185Processes for applying liquids or other fluent materials performed by dipping applying monomolecular layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0433Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a reactive gas
    • B05D3/0453After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/50Multilayers
    • B05D7/52Two layers
    • B05D7/54No clear coat specified
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/0427Coating with only one layer of a composition containing a polymer binder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/02Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a matt or rough surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/50Multilayers
    • B05D7/52Two layers
    • B05D7/53Base coat plus clear coat type
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2325/00Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring; Derivatives of such polymers
    • C08J2325/02Homopolymers or copolymers of hydrocarbons
    • C08J2325/04Homopolymers or copolymers of styrene
    • C08J2325/08Copolymers of styrene
    • C08J2325/14Copolymers of styrene with unsaturated esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2333/00Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers
    • C08J2333/04Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers esters
    • C08J2333/06Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers esters of esters containing only carbon, hydrogen, and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C08J2333/10Homopolymers or copolymers of methacrylic acid esters
    • C08J2333/12Homopolymers or copolymers of methyl methacrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2333/00Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers
    • C08J2333/04Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers esters
    • C08J2333/14Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers esters of esters containing halogen, nitrogen, sulfur, or oxygen atoms in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2453/00Characterised by the use of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Graft Or Block Polymers (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

制备混杂预制图案以用于能够形成层状域图案的给定嵌段共聚物的定向自组装。混杂预制图案具有顶表面,其包括散布有相邻凹陷表面的独立的抬升表面。抬升表面对于通过自组装形成的域是中性润湿的。在给定的蚀刻方法中,在抬升表面下方的材料比在凹陷表面下方的材料具有更大的抗蚀刻性。按照本文所述的混杂预制图案的其他尺寸约束,在混杂预制图案上形成给定嵌段共聚物的层。层的自组装产生在抬升表面上的包括自对准的、单向的、垂直取向的薄片的层状域图案,以及在凹陷表面上平行和/或垂直取向的薄片。域图案沿着预制图案的主轴显示长程有序性。层状域图案可用于形成包括二维定制特征的转印图案。

Description

用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
背景技术
本发明涉及用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案,更具体地,涉及支撑自组装域图案在具有不同蚀刻特性的预制图案的区域上的垂直取向的预制图案。
嵌段共聚物(BCP)的定向自组装(DSA)对于扩展图案化是有希望的分辨率增强技术。已经证明了化学取向生长(Chemoepitaxy)(DSA的一种形式)可靠地从包括定向区域(赋予对一个域(domain)具有优先亲和力的非中性区域(region)的排列)和支持BCP域的垂直取向的非定向区域(中性区域)的稀疏的化学预制图案产生致密的光栅和六边形阵列。在具有Lo(“L零”)的体积周期(bulk periodicity)(间距)的嵌段共聚物的化学取向生长中,赋予对准的区域(alignment conferring region)的宽度可以为约0.5Lo(即0.5倍Lo)或约1.5Lo。
例如,在使用对于3X密度放大(即,3倍密度放大)具有3Lo的间距的化学预制图案的化学取向生长的系统研究的报告中,其中预制图案由交联的聚苯乙烯制成定向区域,由中性材料制成支持垂直取向的非定向区域,仅当预制图案的对准赋予区域的宽度为0.4Lo至0.8Lo或约1.3Lo时获得良好的DSA(Detcheverry等人,Macromolecules,2010,43卷,3446-3454页;Rincon等人,"Determination of Critical Parameters for Control ofDirected Self-Assembly of Block Copolymers Using Frequency Multiplication,2012Report of SRC Annual Review,University of Chicago)。如果对准赋予区域的宽度在该范围值以外,则不能获得良好的DSA。另外,如果对准赋予区域大于2Lo,则不能获得良好的DSA。相反,观察到翻转域和缺陷。
许多图案化应用需要密集DSA图案的复杂定制。最近,使用单独切割层的密集DSA阵列的定制通过两种不同的方法实现:在DSA层形成之前定制(“最初切割(cut-first)”),以及在DSA层形成之后定制(“最后切割(cut-last)”)。已经展示了使用在DSA引导层下埋入的定制水平的最初切割方案(Sayan等人,"Directed Self-Assembly ProcessIntegration–Fin Patterning Approaches and Challenges",Proc.of SPIE,Advancesin Patterning Materials and Processes XXXI,2014,Vol.9051,90510M)。也已经展示了在使用单独掩模的DSA之后42nm间距线空间DSA图案的最后切割定制(Liu等人,"Towardselectrical testable SOI devices using Directed Self-Assembly for finformation",Proc.SPIE 9049,Alternative Lithographic Technologies VI,904909,2014)。然而,随着DSA图案的间距变小,由于紧密覆盖的预算,DSA图案的定制变得更具挑战性。
存在对具有宽设计空间的支持垂直取向的DSA域图案在具有不同润湿性能和蚀刻特性的区域上的自对准定制的多层预制图案的需要,以产生最小化对对准单独的切割层的需要的自对准定制的转印图案。
发明内容
因此,公开了一种方法,所述方法包括:
i)在混杂预制图案的顶表面上形成包括用于自组装的材料(SA材料)的层(SA层),并且任选地形成设置在SA层的顶表面上的顶涂层,其中:
SA材料能够自组装以形成具有特征间距Lo的相分离的层状域图案,
预制图案设置在基材上,
预制图案的顶表面具有几何主轴,
预制图案的顶表面包括:a)散布有相邻凹陷表面的独立的抬升表面和b)将抬升表面连接到凹陷表面的侧壁,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
给定的抬升表面具有宽度WE,所述宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
给定的凹陷表面具有宽度WR,所述宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
对于每个相邻的凹陷表面和抬升表面对,WR+WE是具有约nLo(n乘Lo)的值的独立和,其中n是2至约30的整数,
至少一个凹陷表面的WR大于约2Lo,
至少一个抬升表面的WE大于约2Lo,
每个侧壁具有约0.1Lo至约2Lo的独立高度HN
SA层接触预制图案的抬升表面、凹陷表面和侧壁,以及
SA层具有与大气或顶涂层接触的顶表面;
ii)允许或诱导SA材料自组装,由此形成包括层状域图案的自组装SA层,所述域图案包括包含SA材料的各化学上不同的组分的交替域,每个域包括多个薄片,其中:
抬升表面对于域是中性润湿的,
每个抬升表面接触每个域的至少一个薄片,
与预制图案的给定的抬升表面接触的每个薄片a)垂直于给定的抬升表面取向,b)与给定的抬升表面上方的大气界面和/或顶涂层接触,以及c)沿着预制图案的主轴对准,
iii)使用蚀刻方法选择性地去除一个域,从而形成包括剩余域的薄片的经蚀刻的域图案;和
iv)使用第二蚀刻方法选择性地将经蚀刻的域图案转印到在抬升表面下方的具有更大的抗蚀刻性的材料中,从而形成包括包含具有更大的抗蚀刻性的材料的形貌特征的转印图案。
公开了另一种方法,所述方法包括:
i)在混杂预制图案的顶表面上形成包括适用于自组装的材料(SA材料)的层(SA层),并且任选地形成设置在SA层的上的顶涂层,其中:
SA材料能够自组装以形成具有特征间距Lo的相分离的层状域图案,
预制图案设置在基材上,
预制图案的顶表面具有几何主轴,
预制图案的顶表面包括:a)散布有相邻凹陷表面的独立的抬升表面和b)将抬升表面连接到凹陷表面的侧壁,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
给定的抬升表面具有宽度WE,所述宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
给定的相邻凹陷表面具有宽度WR,所述宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
对于每个相邻的凹陷表面和抬升表面对,WR+WE是具有约nLo(n乘Lo)的值的独立和,其中n是2至约30的整数,
至少一个抬升表面的WE大于约2Lo,
至少一个凹陷表面的WR大于约2Lo,
每个侧壁独立地具有约0.1Lo至约2Lo的高度HN
SA层接触预制图案的抬升表面、凹陷表面和侧壁,以及
SA层具有与大气界面和/或顶涂层接触的顶表面;
ii)允许或诱导SA材料自组装,从而形成包括第一层状域图案的第一自组装SA层;
iii)选择性地去除第一自组装SA层,从而形成包括经改性顶表面的经改性预制图案,其中:
经改性顶表面具有几何主轴,
经改性顶表面包括:a)散布有相邻经改性凹陷表面的独立的经改性抬升表面和b)将经改性抬升表面连接到经改性凹陷表面的经改性侧壁,
iv)将SA材料的第二SA层设置在经改性顶表面上;
v)允许或诱导第二SA层自组装,由此形成第二自组装SA层,所述第二自组装SA层包括与第一层状域图案相比包含更少与自组装域相关的缺陷的第二层状域图案,其中:
经改性抬升表面对于第二层状域图案的域是中性润湿的,
每个经改性抬升表面接触第二层状域图案的每个域的至少一个薄片,以及
与经改性预制图案的给定的经改性抬升表面接触的每个薄片a)垂直于给定的经改性抬升表面取向,b)与给定的经改性抬升表面上方的大气界面和/或顶涂层接触,以及c)沿着经改性预制图案的主轴对准,
iii)使用蚀刻方法选择性地去除第二层状域图案中的一个域,从而形成包括剩余域的经蚀刻的域图案;和
iv)选择性地将经蚀刻的域图案转印到在经改性预制图案的抬升表面下方具有更大的抗蚀刻性的材料中,从而形成转印图案。
也公开了一种分层结构,所述分层结构包括:
设置在基材上的混杂预制图案,所述预制图案包括具有几何主轴的顶表面;
其中
分层结构适用于通过设置在预制图案的顶表面上的给定的自组装层(给定的SA层)的定向自组装来形成半导体器件,其中给定的SA层具有与大气界面和/或顶涂层接触的顶表面,并且SA层包括能够形成具有特征间距Lo的相分离的层状域图案的给定的自组装材料(给定的SA材料),
预制图案的顶表面包括:a)散布有相邻凹陷表面的独立的抬升表面和b)将抬升表面连接到凹陷表面的侧壁,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
给定的抬升表面具有宽度WE,所述宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
给定的相邻凹陷表面具有宽度WR,所述宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
对于每个相邻的凹陷表面和抬升表面对,WR+WE是具有约Lo的整数倍的值的独立和,其中所述整数为2至约30,
至少一个凹陷表面的WR大于约2Lo,
至少一个凹陷表面的WE大于约2Lo,和
每个侧壁独立地具有约0.1Lo至约2Lo的高度HN,和
由给定的SA层形成的域图案包括包含给定的SA材料的各化学上不同的组分的交替层状域,每个域包括多个薄片,其中抬升表面对于自组装的给定的SA层的域是中性润湿的,每个抬升表面接触自组装的给定的SA层的每个域的至少一个薄片,与预制图案的给定的抬升表面接触的每个薄片a)垂直于给定的抬升表面取向,b)与给定的抬升表面上方的大气界面和/或顶涂层接触,以及c)沿着预制图案的主轴对准。
还公开了一种形成混杂预制图案的方法,所述方法包括:
选择能够自组装的自组装材料(SA材料)以形成包括具有特征间距Lo的层状域的相分离的层状域图案;
形成设置在基材上的垫层(underlayer);
形成设置在所述垫层上的形貌抗蚀剂图案,所述抗蚀剂图案包括由沟槽分隔的抗蚀剂特征,所述沟槽具有包含所述垫层的材料的底表面;
选择性地去除沟槽的底表面以下的材料,从而形成第二形貌图案,所述第二形貌图案包括沟槽,所述沟槽具有包含所述基材的材料的底表面;
从第二形貌图案去除抗蚀剂图案,从而形成混杂预制图案;
其中
混杂预制图案具有顶表面和在顶表面中的几何主轴,
预制图案的顶表面包括:a)散布有包括基材材料的相邻凹陷表面的包含垫层材料的独立的抬升表面和b)将抬升表面连接到凹陷表面的侧壁,
抬升表面对于域是中性润湿的,
凹陷表面和侧壁对于域是非中性润湿的,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
预制图案的抬升表面具有独立的宽度WE,所述独立的宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
预制图案的凹陷表面具有独立的宽度WR,所述独立的宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
给定的相邻抬升表面和凹陷表面对的WR+WE具有约Lo的整数倍的值,其中所述整数为2至约30,
至少一个抬升表面的WE大于约2Lo,
至少一个凹陷表面的WR大于约2Lo,
侧壁具有约0.1Lo至约2Lo的高度HN,和
包括设置在预制图案的顶表面上的SA材料并具有与大气界面和/或顶涂层接触的顶表面的自组装层(SA层)能够自组装以形成层状域图案,所述域图案包括包含SA材料的各化学上不同的组分的交替域,每个域包括多个薄片,其中每个抬升表面接触每个域的至少一个薄片,与预制图案的给定的抬升表面接触的每个薄片a)垂直于给定的抬升表面取向,b)与给定的抬升表面上方的大气界面接触,以及c)沿着预制图案的主轴对准。
公开了另一种形成混杂预制图案的方法,所述方法包括:
选择能够自组装的自组装材料(SA材料)以形成包括具有特征间距Lo的层状域的相分离的层状域图案;
形成设置在基材上的垫层,其中垫层对于域是非中性润湿的;
形成设置在所述垫层上的形貌抗蚀剂图案,所述抗蚀剂图案包括由沟槽分隔的抗蚀剂特征,所述沟槽具有包含所述垫层的材料的底表面;
用对于域中性润湿的材料(中和材料)处理抗蚀剂特征的顶表面,从而形成混杂预制图案;
其中
预制图案具有顶表面和在顶表面上的几何主轴,
预制图案的顶表面包括:a)散布有包含垫层材料的相邻凹陷表面的包含抗蚀剂和中和材料的独立的抬升表面,b)将抬升表面连接到凹陷表面的侧壁,
抬升表面对于域是中性润湿的,
凹陷表面和侧壁对于域是非中性润湿的,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
预制图案的抬升表面具有独立的宽度WE,所述独立的宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
预制图案的凹陷表面具有独立的宽度WR,所述独立的宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
给定的相邻抬升表面和凹陷表面对的WE+WR具有约Lo的整数倍的值,其中所述整数为2至约30,
至少一个抬升表面的WE大于约2Lo,
至少一个凹陷表面的WR大于约2Lo,
侧壁具有约0.1Lo至约2Lo的高度HN
包括设置在预制图案的顶表面上的给定的SA材料并具有与大气界面接触的顶表面的自组装层(SA层)能够自组装以形成层状域图案,所述域图案包括包含SA材料的各化学上不同的组分的交替域,每个域包括多个薄片,其中每个抬升表面接触每个域的至少一个薄片,与预制图案的给定的抬升表面接触的每个薄片a)垂直于给定的抬升表面取向,b)与给定的抬升表面上方的大气界面接触,以及c)沿着预制图案的主轴对准。
本领域技术人员将从下面的详细描述、附图和所附权利要求书中领会和理解本发明的上述和其它特征和优点。
附图说明
图1A示出了方案1,所述方案1示出了二嵌段聚合物在垫层上自组装之后二嵌段聚合物嵌段的排列。
图1B示出了方案2,在垫层上的自组装嵌段共聚物的垂直取向的层状域的示意图。
图1C是表示示例性3层混杂预制图案的横截面图,图1D是图1C的混杂预制图案的俯视图。
图2A-2E是示出使用混杂预制图案形成自对准定制转印图案的过程的横截面层图。
图3A-3E是示出形成混杂预制图案的方法1(基本方法)的横截面层图。
图4A-4C是示出使用回填层形成混杂预制图案的方法2的横截面层图。
图5A-5B是示出使用表面改性形成混杂预制图案的方法3的横截面层图。
图6A-6B是示出使用双表面改性形成混杂预制图案的方法4的横截面层图。
图7A-7B是示出使用抗蚀剂的表面改性形成混杂预制图案的方法5的横截面层图。
图8是一系列横截面扫描电子显微照片(SEM)图像,其示出了在具有抬升表面(WE)和凹陷表面(WR)的各种宽度组合的实施例1的混杂预制图案上的良好定向自组装(DSA)。通过回填方法(方法2)制备实施例1的混杂预制图案。WE和WR随嵌段共聚物的体积周期Lo的整数倍(在WE+WR=6Lo,7Lo和8Lo的不同值)变化。对于具有约2Lo至约5Lo的值的WE观察到良好的DSA。
图9是示出用于良好DSA的示例性工艺窗口的一系列平面图SEM图像(实施例2)。在其中在恒定WE+WR=6Lo下WE为约2Lo,3Lo和4Lo的三种不同情况的每一种下,观察到WE为约10nm的工艺窗口。
图10A是示出实施例3的工艺流程i)从图案化的抗蚀剂/叠层制备标记为“宽”和“窄”的两个混杂预制图案(步骤3),ii)使用预制图案以通过定向自组装形成垂直取向的域图案(步骤4),以及iii)转印所得到的图案以形成定制的线图案(步骤5)的一系列横截面层图。步骤编号出现在每个层图下方的方框中。
图10B是通过图10A(实施例3)的方法制备的混杂预制图案的标记为“宽”和“窄”的一对SEM。框中的“3”对应于图10A中的步骤3。
图10C是使用图10B(实施例3)的混杂预制图案形成的自组装线图案(DSA图案)的标记为“宽”和“窄”的一对SEM。框中的“4”对应于图10A中的步骤4。
图10D是使用图10C(实施例3)的DSA图案形成的转印图案的标记为“宽”和“窄”的一对SEM。框中的“5”对应于图10A中的步骤5。
图11A-11C是使用通过凹陷表面的表面改性制备的实施例4的混杂预制图案形成的DSA图案的平面图SEM。抬升条纹宽度WE和相邻凹陷条纹宽度WR在恒定WE+WR=8Lo下变化。每个DSA图案都是单向阵列图案。图11A:5条聚苯乙烯(PS)线在SiARC条纹顶部的抬升条纹上对准,并且3条PS线对准在凹陷条纹上。图11B:6条PS线对准在SiARC顶部的抬升条纹上,2条PS线在凹陷条纹上。图11C:4条PS线对准在SiARC顶部的抬升条纹上,4条PS线在凹陷条纹上。
图12是显示在形成定制的阵列图案的每个工艺步骤获得的线图案的一系列平面图SEM(实施例5)。实施例5的混杂预制图案通过使用刷状聚合物对凹陷表面进行表面改性来制备。
图13A是示出用于形成具有调制线切割的目标图案的二维定制(实施例6A)的一系列图像。通过抗蚀剂特征(HSQ抗蚀剂)的表面改性制备混杂预制图案。图像包括目标2D图案,抗蚀剂图案的对应平面图SEM图像,DSA图案和转印DSA图案之后的定制结果。转印图案包含线切割目标中的各种锥度。
图13B是示出用于形成"IBM"的目标图案的二维定制(实施例6B)的一系列图像。通过抗蚀剂特征(HSQ抗蚀剂)的表面改性制备混杂预制图案。图像包括目标2D图案,抗蚀剂图案的对应平面图SEM图像,DSA图案和转印DSA图案至抗蚀剂层之后的定制结果。转印图案包含IBM徽标。
图13C是示出使用具有出自与3线间隙交替的3线组合的长的1行渐变(1-linetaper)的目标图案的二维定制(实施例6C)的一系列图像。通过回填方法制备混杂预制图案。图像包括目标2D图案,抗蚀剂图案的对应平面图SEM图像,DSA图案,和转印DSA线图案至抗蚀剂层之后的定制结果。最后的阵列包含3线间隙和1行渐变。
具体实施方式
本申请公开了用于使用定向自组装(DSA)形成定制的光蚀刻(lithographic)阵列图案的混杂预制图案。混杂预制图案的顶表面(称为“预制图案”)具有几何主轴。顶表面包括散布有相邻凹陷表面的独立的抬升表面,并且高度HN的侧壁将凹陷表面连接到抬升表面。在给定的蚀刻方法中,与在预制图案的凹陷表面下方的材料相比,在抬升表面下方的材料具有更大的抗蚀刻性。抬升表面和凹陷表面的表面性质可以不同,下面将更详细地描述。包含能够形成层状域的SA材料(例如嵌段共聚物)的自组装层(SA层)设置在预制图案的顶表面上。亦即,SA层具有大于HN的厚度并且接触预制图案的抬升表面、凹陷表面和侧壁。自组装形成层状域图案,其中预制图案的抬升表面上的薄片相对于抬升表面的主平面垂直取向并且沿着预制图案的主轴对准。凹陷表面上的薄片可以相对于凹陷表面的主平面具有垂直取向和/或平行取向。优选地,在自组装之后,侧壁接触一个域。预制图案的形貌和表面性质影响SA材料的自组装和域的全局对准。抬升表面和凹陷表面的下层材料(underlyingmaterial)之间的蚀刻对比允许形成待制备的定制的转印图案(例如,单向线-空间阵列,二维图案)。还公开了形成和利用混杂预制图案的方法。
“SA材料”是能够自组装成组成不同的相分离域的材料。SA材料优选包含嵌段共聚物(BCP)。非限制性嵌段共聚物包括二嵌段和三嵌段共聚物。“SA层”是包含SA材料的层。SA层设置在预制图案的顶表面上,并接触预制图案的抬升表面、凹陷表面和侧壁。通常,SA层可以在抬升表面上具有约5nm至约500nm,更具体地约20nm至约50nm的厚度。
术语“预制图案”是指其上设置有SA层用于自组装的表面的集合。预制图案的顶表面的抬升表面、凹陷表面和侧壁与SA层接触。SA层还具有与大气接触的顶表面。
任选地,为了通过自组装形成的域的取向控制,可以在自组装之前将顶涂层施加到SA层的顶表面。可选地,可以通过SA层的自组装(即,SA层包括嵌入的顶涂层)来形成顶涂层。在这种情况下,SA层的组分相分离以在SA层的大气界面处形成分离和离散的层,从而形成设置在自组装SA层的其它相分离域上的顶涂层。顶涂层可以是允许SA层自组装以形成与预制图案的抬升表面接触并在其上方的垂直取向的域的任何材料。
“图形取向生长预制图案(graphoepitaxial pre-patter)”是其中形貌和表面性质影响自组装的预制图案。“化学预制图案”是其中自组装主要受表面性质影响的预制图案。由于对自组装的形貌影响程度也取决于相对于下层浮雕表面的SA层的厚度,在这两种预制图案类别之间不存在严格的尺寸限制。然而,通常,当使用图形取向生长预制图案时,SA层的厚度通常小于或约等于下层预制图案的沟槽高度。对于化学预制图案,SA层厚度大于下层形貌的任何沟槽高度。混杂预制图案用作化学预制图案(SA层的厚度超过预制图案的最高侧壁高度),但是具有影响并引导自组装的下层形貌。此外,典型的DSA由具有相对均匀的蚀刻电阻率的下层预制图案支持。与DSA的典型预制图案相反,混杂预制图案在抬升表面和凹陷表面之间具有显著的蚀刻对比(例如,无机与有机材料之间的蚀刻对比)。因此,使用术语“混杂”来描述预制图案。
自组装可以在形成SA层时自发发生,或者可以被诱导(例如通过将SA层热退火和/或溶剂蒸汽退火)。
用于自组装的嵌段共聚物包括彼此不混溶的至少两个嵌段。通过嵌段的相分离发生嵌段共聚物的自组装,以形成分离的固相域的图案。作为一个例子,二嵌段共聚物的自组装产生包含基本上含有二嵌段共聚物的第一嵌段A的第一域和基本上含有二嵌段共聚物的第二嵌段B的第二域的域图案。在这种情况下,第一和第二域通过将嵌段共聚物的嵌段A连接到嵌段B的共价键连接。
这里,如果自组装的SA材料的每个域可以润湿表面或界面,则表面或界面被称为对于SA材料是“中性的”或对于SA材料是“中性润湿的”(即,每个域在自组装之后接触表面或界面)。否则,表面或界面被称为对于SA材料是“非中性的”。预制图案的抬升表面对于SA材料是中性的。预制图案的凹陷表面对于SA材料可以是中性或非中性的,前提是凹陷表面的润湿性能不会不利地影响在抬升表面上垂直取向的域的形成。预制图案的侧壁优选为非中性表面。在一个实施方案中,预制图案的侧壁接触自组装SA材料的一个域。取决于预期的最终转印图案,形成在相应凹陷表面上的域可以相对于相应的下层凹陷表面的平面(或基材的底层的平面)具有垂直取向、平行取向或其组合。
如果参考域优先于自组装SA材料的另一域能够润湿表面,则认为该表面对于自组装SA材料的参考域具有“优先亲和力”或对于自组装SA材料的参考域是“优先的”。否则,表面被认为对于SA材料的域是“非优先的”。抬升表面对于自组装SA材料的域是非优先的。在一个实施方案中,凹陷表面和侧壁对于自组装SA材料的一个域具有优先亲和力。
这里,接触SA层的底表面的混杂预制图案的任何材料通常被称为“垫层材料”。与SA层的底部接触的层是“垫层”(UL)。与SA层接触的抬升表面的垫层材料是第一垫层材料。与SA层接触的凹陷表面的垫层材料是第二垫层材料。与SA层接触的侧壁的垫层材料可以是第三垫层材料。垫层的主体材料对于SA材料可以为中性或非中性润湿的。非中性垫层(UL)是其主体材料对于自组装SA材料的域具有非中性润湿性能的垫层。非中性垫层(UL)是其主体材料对于自组装SA材料的域具有中性润湿性能的垫层。不管用于形成给定垫层的主体材料的润湿性能如何,用于制备混杂预制图案的各种方法都得到对于SA材料为中性润湿的预制图案的抬升表面、对于SA材料为中性或非中性润湿的凹陷表面、以及对于SA材料优选为非中性润湿的侧壁。预制图案的抬升表面、凹陷表面和侧壁可以包括残余的抗蚀剂材料、回填材料、表面改性材料和/或痕量的包括在各种工艺之后留在抬升表面上的小分子的材料。这些表面还可以包括在剥离SA材料的第一形成的域图案之后留在抬升表面、凹陷表面和侧壁上的残余的SA材料。
这里,与自组装SA层接触的大气界面和/或顶涂层支撑在抬升表面上的域的垂直取向。抬升表面上的每个薄片都接触相应的抬升表面和大气和/或顶涂层。
这里,术语“基材”是指用于构造预制图案表面的分层材料的初始叠层。基材可以包括布置在叠层中的一层或多层材料。预制图案的顶表面的抬升特征可以包含一个或多个层。作为非限制性例子,基材可以包括硅晶片或作为底层(bottom layer)的金属箔、硬掩模层、电介质层,金属氧化物层、氧化硅层和/或抗反射层(ARC)。通常,基材是包括硅晶片和用于制备混杂预制图案的任何其它层的初始叠层。为了清楚起见,下面所示附图中的基材是作为制备混杂预制图案中的第一步骤在其上设置垫层(例如,中性UL或非中性UL)的初始叠层。
术语“设置”是指一层与另一层的表面接触。“设置”或“施加”是指形成待与另一层的表面接触的层,而不限制所使用的方法,只要获得所设置或施加的层的所需特性,例如均匀性和厚度。
术语“流延”是指通过在表面上设置溶解在溶剂中的材料的溶液并去除溶剂来形成材料层。
无规共聚物以名称中的“-共-”或“-r-”表示。嵌段共聚物以名称中的“-b-”或“-嵌段-”表示。交替嵌段共聚物以名称中的“-alt”表示。
这里,术语“界面”是指两个基本上不混溶的相之间的接触边界。每个相可以独立地是固体、液体或气体。
这里,SA材料的自组装优选形成包含交替的域的域图案,其包含SA材料的化学上不同的组分(例如,每个域可以包含二嵌段共聚物的嵌段)。优选地,所述域具有层状(即,薄板或带状结构)或圆柱形形态。每个层状域可以具有多个薄片。层状或圆柱形域可以具有与下层表面(underlying surface)的主平面或基材的底层平行或垂直的取向。当薄片的主平面平行于下层表面的主平面或基材的底层取向时,薄片具有平行取向。当薄片的主平面垂直于下层表面的主平面或基材的底层取向时,薄片具有垂直取向。当主圆柱轴线平行于下层表面的平面或基材的底层取向时,圆柱形域具有平行取向。当圆柱轴线垂直于下层表面的平面或基材的底层取向时,圆柱形域具有垂直取向。
对于通过选择性蚀刻给定的层状域来形成高分辨率线图案,层状域的垂直取向是期望的。通常,对于形成高分辨率线图案,平行取向是不希望的。混杂预制图案允许SA层的自组装以产生域图案,其中在抬升表面上的SA层的部分基本上由设置在抬升表面上的垂直取向的薄片组成,在凹陷表面上的SA层的部分包括平行和/或垂直取向的薄片。在一个实施方案中,SA层的自组装在具有长程对准的预制图案的抬升表面和凹陷表面上产生垂直取向的域。
再下面的实施例以化学预制图案的方式利用混杂预制图案(即,凹陷表面上的SA层的厚度大于下层形貌的沟槽高度(侧壁高度))。SA层位于预制图案的抬升表面和凹陷表面之上并在其上方,并且与抬升表面、凹陷表面和侧壁接触。混杂预制图案的形貌和表面性质引导通过自组装形成的域的全局对准。
总之,关于抬升表面,混杂预制图案的抬升表面对SA材料是中性的。与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料具有更高的蚀刻抗性(etch resistivity)。抬升表面可以独立地具有不同的宽度WE,其中WE是垂直于预制图案的主轴的抬升表面的长度。设置在抬升表面上的自组装SA层的部分基本上由相对于抬升表面的主平面或基材的底层具有垂直取向的域(优选为层状域)组成。每个域与位于SA层顶部的抬升表面和大气界面(和/或顶涂层)接触。此外,域与预制图案的主轴对准。
总之,在上述状态的条件下,关于凹陷表面,混杂预制图案的凹陷表面对于SA材料可以为中性或非中性的。在一个实施方案中,凹陷表面对于自组装SA材料的一个域具有优先亲和力。与在预制图案的抬升表面下方的材料相比,在预制图案的凹陷表面下方的材料具有更低的蚀刻抗性。凹陷表面可以独立地具有不同的宽度WR。WR是垂直于预制图案的主轴的凹陷表面的长度。在凹陷表面上的自组装SA层的部分可以包括相对于凹陷表面的主平面或基材的底层具有平行和/或垂直取向的域(优选为层状域)。一个或多个域可以与位于SA层顶部的凹陷表面和/或大气界面(和/或顶涂层)接触。设置在凹陷表面上的自组装SA层的部分可以包含零个或多个平行的域,这取决于凹陷表面的宽度和表面性质、SA层厚度和预制图案侧壁的表面性质。
总之,在上述条件下,关于侧壁,混杂预制图案的侧壁对于SA材料优选为非中性的。侧壁具有至少为0.1Lo的高度HN。在一个实施方案中,侧壁对于自组装SA材料的一个域具有优先亲和力。在另一个实施方案中,侧壁在自组装之后接触一个域,并且该域相对于相应的下层凹陷表面具有垂直取向。
相对于相邻的一对抬升表面和凹陷表面,宽度之和可以具有大约等于Lo(域图案的周期性(特征间距))的整数倍的值。因此,对于相邻的抬升表面和凹陷表面,WE+WR大约等于nLo(n乘Lo),其中n是2至约30的整数。
表面性质、平面内几何形状和形貌(抬升表面、凹陷表面和侧壁)的组合在混杂预制图案上定向SA材料的自组装。侧壁对特定域的优先亲和力可以促进域沿着侧壁的对准。中性抬升表面支撑与抬升表面接触的域的垂直取向。域的全局对准是通过在整个主轴方向上维持预制图案的平面内维度(即对于相邻的抬升表面和凹陷表面,WE+WR=nLo,其中n是正整数)结合预制图案表面的形貌和表面性质实现的。
下面更详细地描述的图2B示出了其中自组装SA层在抬升表面和凹陷表面上包含垂直取向的薄片的实施方案。抬升表面上的垂直薄片与在SA层的顶表面处的抬升表面和大气界面接触。凹陷表面上的垂直取向的薄片设置在与凹陷表面接触的一个域(平行域)的薄层上。在这种情况下,凹陷表面上的自组装SA材料的每个域都接触大气界面,但只有一个域与凹陷表面接触。从俯视视角,垂直取向的域图案可以表现为在整个SA层延伸的均匀的单向层状域图案(例如,表现为大规模线性阵列图案)。
作为另一实施例,图8示出了在抬升表面上并且邻近侧壁形成的垂直薄片,并且在凹陷表面的中心部分上形成平行薄片(对于相邻的抬升表面和凹陷表面,WE+WR=8Lo,3Lo和4Lo的SEM图像)。在抬升表面和凹陷表面上的层状图案的每个区域显示长程对准。
以下讨论集中在层状域图案上,但也适用于圆柱形域图案。为了说明的目的,SA材料是能够自组装的二嵌段共聚物。应当理解,下面的附图和方案的层图不是按比例绘制的,也不意味着对于可以使用下述工艺生产的可能结构进行限制。图用于说明目的。
不受理论的约束,图1A所示的方案1是自组装二嵌段共聚物的平行取向的层状域的示意图。在这种情况下,每个层状域的主平面平行于垫层表面的平面。
方案1显示了在具有对嵌段A优先的非中性表面的垫层上自组装二嵌段共聚物之后二嵌段共聚物的嵌段A和B的排列。在该实施例中,大气界面也对嵌段A优先。第一层状域包括嵌段A,第二层状域包括嵌段B。域的周期Lo表示为1.0Lo(一乘Lo,Lo=1.0Lo)。对于层状域,层状域的厚度为约0.5Lo。还示出了单独的二嵌段共聚物大分子、域边界和0.5Lo。在该实施例中,垫层表面仅与自组装二嵌段共聚物的嵌段A接触。应当理解,在给定的层状域(例如,方案1的第二层状域)内,来自不同的聚合物大分子的嵌段(例如,B嵌段)可以被布置为端对端(示出)和/或交织(未示出)。每个嵌段可以具有刚性、非刚性或中等刚度的骨架。每个嵌段可以具有任何合适的卷绕、旋转和/或挠曲能力。
不受理论的约束,图1B中所示的方案2是在具有中性表面的垫层上的自组装嵌段共聚物的垂直取向的层状域的示意图。每个层状域的主平面垂直于垫层的平面(或基材的底层,未示出)。
在该实施例中,每个层状域接触大气界面(和/或顶涂层界面,未示出)和垫层表面。示出体积周期,1.0Lo,以及0.5Lo。在该实施例中,垫层表面接触自组装二嵌段共聚物的嵌段A和嵌段B。
大气界面通常对于用于自组装的嵌段共聚物不是中性润湿的。然而,本发明的混杂预制图案在一些情况下可以在抬升表面和任选地凹陷表面上提供层状域的垂直取向,而不使用中性顶涂层。
层状域图案可以具有在约4nm至约80nm范围内的周期Lo,其可用于分别产生具有约2nm至约40nm,特别是约5nm至约30nm的半间距的线特征。
混杂预制图案
混杂预制图案包括两层或更多层。混杂预制图案具有包括抬升特征和凹陷特征的形貌顶表面。抬升特征包括抬升表面。凹陷特征包括由侧壁限定的凹陷表面。抬升表面对于给定的SA材料是中性的。凹陷表面可以是中性的或非中性的,前提如上。侧壁优选对于SA材料是非中性的。抬升表面、凹陷表面和侧壁的组合定向自组装。
图1C是包括第一层15(例如,硅晶片)、第二层16(例如,转印层)和形貌层17(例如,无机硬掩模层)的非限制性示例性3层混杂预制图案10的横截面图。形貌层17包括抬升特征11,所述抬升特征11包括散布有凹陷特征12(例如,沟槽)并与之相邻的抗蚀刻材料19。抬升特征11具有抬升表面13,其对于给定的SA材料是中性的,用于自组装。抬升表面13支撑给定SA材料的自组装域的垂直取向。与在凹陷表面14下方的材料相比,在抬升表面13下方的材料在蚀刻方法中也具有较高的抗蚀刻性。蚀刻方法可以是湿和/或干蚀刻方法。抬升表面11也可以具有由WE1、WE2和WE3表示的不同宽度,WE1、WE2和WE3是在垂直于主轴19的方向上给定的抬升表面11的长度(图1D)。抬升特征11还可以包括一层或多层材料(未示出),其可以是有机材料、无机材料或其组合。
凹陷特征12具有由高度为HN的侧壁18限定的凹陷表面14。在上述条件下(即,凹陷表面12的表面性质不会不利地影响在抬升表面13上的垂直取向的域的形成)的凹陷表面14对于给定的SA材料可以为中性和/或非中性的。侧壁18优选对于SA材料是非中性的。在一个域和凹陷表面14之间具有强亲和力的情况下,凹陷表面14可以基本上或仅与SA材料的一个域接触。在这种情况下,凹陷表面14可以支撑与凹陷表面14(示出)接触的优先域的平行取向。在一些情况下,如下所示,与凹陷表面上的大气界面(和/或顶涂层,未示出)接触的每个域的薄片可以垂直于下层凹陷表面取向。侧壁18可以在定向域的对准方面发挥重要作用。侧壁和一个域之间的强亲合力可以增强在抬升表面和凹陷表面上的域的对准。与在抬升表面13下方的材料19相比,在凹陷表面14下方的材料在蚀刻过程中也具有较小的抗蚀刻性。凹陷特征12也可以具有由WR1和WR2表示的不同宽度,WR1和WR2是给定的凹陷表面13在垂直于主轴A'的方向上的长度(图1B)。
一对相邻的抬升(WEi)和凹陷(WRi)表面的宽度的总和是SA材料(例如嵌段共聚物)的特征间距Lo的整数倍。亦即,WEi+WRi=n×Lo(即,n乘Lo或nLo),其中n是具有2或更大,2至约30,2至约20,或更具体地2至约10的值的整数。下标i是表示邻接关系的索引值的数字。至少一个抬升表面13和至少一个凹陷表面14具有大于约2.0Lo的相应宽度。侧壁高度HN可以大于或等于约0.1Lo。更具体地,HN为约0.1Lo至约2.0Lo,更具体地为约0.2Lo至约2.0Lo。
图1D是混杂预制图案10的俯视图,显示主要几何轴A',具有抬升表面13的抬升特征11,具有凹陷表面14的凹陷特征12以及宽度WE1、WE2、WE3、WR1和WR2
混杂预制图案的顶表面可以包括WR、WE、WR+WE或其组合的值不同的两个或更多个区域,前提是WR+WE是在两个或更多个区域中的每一个中的相邻的抬升表面和凹陷表面的Lo的整数倍。在一个实施方案中,混杂预制图案的顶表面包括沿着混杂预制图案的主轴的两个或更多个区域,并且所述两个或更多个区域对于相邻的抬升表面和凹陷表面具有不同的WR+WE值。
图2A至2D是示出使用混杂预制图案制造具有定制特征的转印图案的示例性非限制性方法的横截面层图。将包含SA材料和溶剂的溶液施加到图1C的混杂预制图案10(例如通过旋涂),然后去除溶剂,形成结构20(图2A)。结构20包括SA层21,SA层21包括设置在抬升特征11的抬升表面13和在凹陷特征12的凹陷表面14上的SA材料。抬升表面13对于自组装SA材料的域是中性润湿的。在该实施例中,凹陷表面14和侧壁18对于自组装SA材料的域是非中性润湿的。侧壁18具有高度HN。允许或诱导SA层21自组装产生结构30(图2B)。结构30包括自组装SA材料的自对准层状域图案31,其在抬升表面13和凹陷表面14上延伸。对于一对相邻的抬升表面和凹陷表面,WEi+WRi=nLo(n乘Lo),其中n是2到30的整数,i是表示邻接关系的索引数字。
任选地,可以从结构30(未示出)剥离域图案31以形成经改性混杂预制图案。将第二层SA材料施加到经改性混杂预制图案的顶表面,然后自组装第二层,可以形成在抬升表面上具有垂直取向的域的第二层状域图案。与初始层状域图案31相比,第二层状域图案可以包含与自组装域相关联的较少缺陷。
层状域图案31包括交替的第一域32和第二域33。第一域32和第二域33中的每一个包括多个薄片。第一域32和第二域33包括SA材料的化学上不同的组分(例如嵌段共聚物的嵌段)。抬升表面13接触第一域32和第二域33。与抬升表面13接触的每个域的薄片垂直于抬升表面13(或第一层15,其为基材的底层)的主平面取向。在该实施例中,与凹陷表面14上的大气界面34接触的每个域的薄片垂直于相应的下层凹陷表面14取向。在抬升表面13和凹陷表面14上的垂直取向的薄片沿着混杂预制图案的主轴(未示出)对准。自组装SA材料的特征间距Lo也在结构30中示出。在该实施例中,由于用于第一域32(示出)的凹陷表面14和侧壁18的强烈的优先亲和力,凹陷表面14和侧壁18基本上或仅与第一域32的材料接触。因此,如结构30中所示,第一域32可以是凹陷表面14上的薄润湿层的形式。在该实施例中,第二域33基本上不与凹陷表面14接触。侧壁18有助于层状域图案31沿着预制图案的主轴的对准。
在给定的蚀刻方法(其可以是湿和/或干蚀刻方法)中,一个域具有较高的抗蚀刻性。在该实施例中,与第二域33(例如,包含BCP的聚(甲基丙烯酸甲酯)(PMMA)嵌段的域)相比,第一域32(例如,包含BCP的聚(苯乙烯)(PS)嵌段的域)具有较高的抗蚀刻性。因此,可以选择性地去除第二域33的薄片,留下第一域32的薄片,如结构40中所示(图2C)。结构40包括设置在第二层16上的经蚀刻域图案41。经蚀刻域图案41包括设置在抬升表面43上和凹陷表面45上的第一域32的薄片。应当理解,凹陷表面45可以具有设置在其上的第一域32的(未示出)的残余的薄层。根据蚀刻条件,与抬升特征11相比,抬升特征44可以具有由W'E1、W'E2和W'E3表示的相同或不同的宽度。同样,与凹陷特征12相比,凹陷特征46可具有由W'R1和W'R2表示的相同或不同的宽度。凹陷表面45可以是第二层16的顶表面。然后可以使用第二蚀刻方法将经蚀刻域图案41转印入在抬升表面43下方更抗蚀刻的材料中,从而形成结构50a(图2D)。结构50a包括转印图案51a,转印图案51a包括设置在第二层16上的形貌转印特征55a。在该实施例中,形貌转印特征55a包括抗蚀刻材料19。转印图案51a还可以包括第一域32的薄片的残余部分(未示出)。
在该实施例中,转印图案51a具有三个定制特征。其他可定制的特征是可能的。第一定制特征是第一阵列52a,其包括通过在宽度W'E1的抬升特征44上蚀刻浮雕图案41而形成的三个转印特征55a。另一定制特征是第二阵列53a,其包括通过在宽度W'E2的抬升特征44上蚀刻浮雕图案41而形成的两个转印特征55a。另一定制特征是第三阵列54a,其包括通过在宽度W'E3的抬升特征44上蚀刻浮雕图案41而形成的四个转印特征55a。第一阵列52a具有小于W'E1的宽度W”E1。第二阵列53a具有小于W'E2的宽度W”E2。第三阵列54a具有W”E3的宽度,其在该实施例中小于W'E3。第一阵列52a通过具有宽度W”R1的空间区域56a与第二阵列53a分离,在该实施例中,W”R1大于W'R1。第二阵列53a通过具有宽度W”R2的空间区域57a与第三阵列54a分离,在该实施例中,W”R2大于W'R2
任选地,可以使用第三蚀刻方法选择性地将转印图案51a转印到基材的一个或多个下层(underlying layer)中,由此形成结构50b(图2E)。在该实施例中,结构50b包括第二转印图案51b,所述第二转印图案51b包括设置在第一层15上的形貌特征55b(例如,柱)。在该实施例中,形貌特征55b(示为柱)包括残余的抗蚀刻材料19和第二层的材料16。
在该实施例中,转印图案51b具有三个定制特征。其他可定制的特征是可能的。第一定制特征是通过将宽度为W”E1的第一阵列52a转印入第二层16而形成的包括三个形貌特征55b的第一阵列52b。另一定制特征是通过将宽度为W”E2的第二阵列53a转印入第二层16而形成的包括两个形貌特征55b的第二阵列53b。另一定制特征是通过将宽度为W”E3的第三阵列54a转印入第二层16而形成的包括四个形貌特征55b的第三阵列54b。第一阵列52b具有可与W”E1基本上相同的宽度W”'E1。第二阵列53b具有可与W”E2基本上相同的宽度W”'E2。第三阵列54b具有可与W”E3基本上相同的宽度W”'E3。第一阵列52b通过具有宽度W”'R1的空间区域56b与第二阵列53b分离,W”'R1可以与W”R1基本上相同。第二阵列53b通过具有宽度W”'R2的空间区域57b与第三阵列54b分离,W”'R2可以与W”R2基本上相同。
制备混杂预制图案的方法
制备混杂预制图案的几种方法如下所述。每种方法都使用图3A中所示的三层基材60。基材60包括第一层61(例如,Si晶片),其包括第一材料65(例如,硅、金属),也称为基材的底层;第二层62(例如,转印层(TL)),其包括第二材料66(例如,氮化硅(SiNx)、碳膜、旋涂碳);和第三层63(例如硬掩模(HM)),其包括第三材料67(例如,氧化硅、金属氧化物,抗反射涂层如SiARC和/或TiARC)。第三层63具有表面64。
方法1
图3A-3E示出了使用预先形成的多层基材60形成混杂预制图案的方法1(基本方法)。将中和材料(对于给定的SA材料为中性润湿的聚合物,如嵌段共聚物)的溶液施加到表面64上,然后去除溶剂,形成结构70(图3B)。结构70包括中性垫层71。中性垫层71可以为交联的、共价键合至第三层63,或以其他方式固定。中性层71具有包括中性材料73的中性表面72。中性表面72对于给定的SA材料的域是中性润湿的。使用已知的光蚀刻(lithographic)技术在中性表面72上形成形貌抗蚀剂图案,形成结构80(图3C)。在该实施例中,表面72的中性性质在形成抗蚀剂图案、转印抗蚀剂图案和剥离抗蚀剂图案之后被保留。
抗蚀剂图案的形成可以包括:i)在表面72上设置抗蚀剂(例如通过旋涂)并去除任何溶剂以形成抗蚀剂层,ii)任选地烘烤抗蚀剂层,iii)将经烘烤或未烘烤的抗蚀剂层图案式曝露于辐射(例如,电子束,远紫外(EUV),深紫外(DUV)),iv)任选地烘烤经曝露抗蚀剂层,v)显影经烘烤或未烘烤的经暴露抗蚀剂层,以形成正或负色调抗蚀剂图案,以及vi)任选地烘烤抗蚀剂图案。
结构80包括设置在中性表面72上的第一形貌图案81。第一形貌图案81可以是正色调图案或负色调图案。第一形貌图案81包括形貌抗蚀剂特征82,所述形貌抗蚀剂特征82包括设置在中性表面72上的抗蚀剂材料85。抗蚀剂特征82可以具有不同的宽度(未示出)。抗蚀剂特征82由具有包括中性材料73的底表面86的沟槽83分开。沟槽83可以具有不同的宽度(未示出)。沟槽83由包含抗蚀剂材料85的侧壁84和底表面86界定。
然后用一个或多个湿蚀刻和/或干蚀刻和任选的氧蚀刻处理结构80,由此产生结构90(图3D)。在该实施例中,第三层63的第三材料67和中性层71的中性材料73在沟槽83的底表面86下被去除(图3C),形成图3D的凹陷特征93。抗蚀剂特征82的一部分也可以通过蚀刻方法去除(示出)。结构90包括第二形貌图案91,所述第二形貌图案91包括由凹陷特征93分隔的抬升特征92。第二形貌图案91包括抗蚀剂层96、中性层95和第三层部分94。凹陷特征93具有凹陷表面97,所述凹陷表面97对于SA材料是非中性的。
从结构90剥离剩余的抗蚀剂材料85形成结构100(图3E),用于自组装的混杂预制图案。结构100包括图案化层101(混杂预制图案的顶表面),所述图案化层101包括抬升特征102、凹陷特征103、和将抬升特征102连接到凹陷特征103的侧壁108。图案化层101包括抬升特征102,所述抬升特征102包括第三层104、和包含中性材料73的中性层105,所述第三层104包括第三材料67。抬升特征102包括包含中性材料73和任何残余的抗蚀剂85的抬升表面106。抬升表面106对于通过SA材料的自组装形成的域是中性润湿的。在该实施例中,表面72的中性性质在形成抗蚀剂图案、转印抗蚀剂图案和剥离抗蚀剂图案之后被保留。凹陷特征103包括包含第二材料66的凹陷表面107,其由高度为HN的侧壁108限定。在该实施例中,凹陷表面107和侧壁108具有用于SA材料的自组装的期望的表面性质,而不进一步改进。在上述条件下,凹陷表面107对于SA材料可以为中性或非中性的。侧壁108优选对于SA材料是非中性的。抬升特征102可以具有由WE1、WE2和WE3表示的不同宽度。凹陷特征103可以具有由WR1和WR2表示的不同宽度。对于一对相邻的抬升表面和凹陷表面,WEi+WRi=nLo,其中n是2到30的整数,i是表示邻接关系的索引数字。
方法2
图4A-4C示出了从结构110(图4A)开始形成混杂预制图案的方法2(回填方法)。除了图4A的沟槽112的底表面111不具有用于给定的SA材料的定向自组装的期望的表面性质以外,结构110类似于图3D的上述结构90。
在该实施例中,在形貌图案113的任选硬化(例如,通过在合适的升高的温度下烘烤第二形貌图案113)之后,形貌图案113的沟槽112部分地被用材料回填至低于中性层95顶部的水平,从而形成结构120(图4B)。结构120包括图案化层121,所述图案化层121包括具有侧壁127的抬升特征125和沟槽123。结构120还包括包含回填材料124的回填层122。沟槽123具有包括回填材料124的底表面126。在该实施例中,图案化层121包括抗蚀剂层96和中性层95的一部分。抬升特征125包括抗蚀剂材料85和中性材料73。侧壁127可与回填材料124相互作用以在侧壁127上形成回填材料124的薄层(未示出)。
从结构120剥离抗蚀剂材料85产生结构130(图4C),用于自组装的混杂预制图案。结构130包括图案化层131,所述图案化层131包括抬升特征132、凹陷特征133、和将抬升特征132连接到凹陷特征133的侧壁135。在该实施例中,抬升特征132包括中性层95的一部分,所述中性层95包括中性材料73和任何残余的抗蚀剂85。凹陷特征133包括包含回填材料124的凹陷表面136,其由高度为HN的侧壁135限定。侧壁135可以包括中性材料73(示出)和/或回填材料124的薄涂层(未示出)。包括中性材料73和任何残余的抗蚀剂材料85的抬升表面134对于SA材料是中性的。在该实施例中,凹陷表面136和侧壁135具有用于SA材料的自组装的期望的表面性质,而不进一步改进。在上述条件下,凹陷表面136对于SA材料可以为中性或非中性的。侧壁135优选对于SA材料是非中性的。在给定的蚀刻方法中,在抬升表面134下方的材料具有比在凹陷表面136下方的材料更高的蚀刻抗性。在一个实施方案中,侧壁135和凹陷表面136具有对SA材料的一个域的优先亲和力。抬升特征132可以具有由WE1、WE2和WE3表示的不同宽度。凹陷特征133可以具有由WR1和WR2表示的不同宽度。对于一对相邻的抬升表面和凹陷表面,WEi+WRi=nLo,其中n是2到30的整数,i是表示邻接关系的索引数字。
方法3
图5A-5B示出了从结构140(图5A)开始形成混杂预制图案的方法3(表面改性方法),结构140类似于图3E的结构100,但有以下例外。结构140包括图案化层141,所述图案化层141包括抬升特征144和凹陷特征143,包括层104和层145。层145包括材料147。抬升特征144具有抬升表面146。凹陷特征143具有由高度为H'的侧壁148界定的凹陷表面142。抬升表面146对于给定的SA材料是中性的。凹陷表面142不具有适用于给定的SA材料的定向自组装的表面性质。侧壁148可以具有或可以不具有适用于给定的SA材料的自组装的表面性质。
用非中性表面改性材料处理结构140,从而形成结构150(图5B),混杂预制图案。结构150包括图案化层151,所述图案化层151包括抬升特征152和凹陷特征153。图案化层151包括第三层154和中性层155。结构150还包括经表面改性的第二层157。经改性的第二层157包括凹陷特征153的经改性凹陷表面159。表面改性可以改变侧壁148(未示出)的表面性质。抬升表面156和侧壁158可以包括表面改性材料(未示出),其量不会不利地影响给定的SA材料的自组装以在抬升表面156上产生垂直取向的薄片。因此,表面改性后抬升表面156对于给定的SA材料是中性的。表面改性后的经改性凹陷表面159和侧壁158对于SA材料是非中性的。在给定的蚀刻方法中,在抬升表面156下方的材料具有比在经改性的凹陷表面159下方的材料更高的蚀刻抗性。
图案化层151可以具有与图5A的图案化层141基本相似的形貌和尺寸(未示出)。亦即,表面改性可以基本上保留图案化层141的尺寸。通常,表面改性导致侧壁高度H'中约5nm的差异。图5B的高度HN可以与图5A的高度H'基本相同。图5B的抬升特征152的宽度WE1、WE2和WE3可以与图5A的抬升特征102的W'E1、W'E2和W'E3相同或基本相同。图5B的凹陷特征153的宽度WR1和WR2可以与图5A的凹陷特征143的W'R1和W'R2相同或基本相同。在图5B中,对于给定的一对相邻的抬升表面和凹陷表面,WEi+WRi=nLo,其中n是2到30的整数,i是表示邻接关系的索引数字。高度HN为约0.1Lo至约2.0Lo
表面改性可以例如通过使用能够减少刷状聚合物在抬升表面106上的沉积的条件将刷状聚合物的稀溶液施加至结构140,随后烘烤来进行。
方法4
图6A-6B示出了从结构160(图6A)开始形成混杂预制图案的方法4(双重改性方法),结构160类似于图4C的结构130,但有以下例外。结构160包括包含抬升特征162和凹陷特征163的图案层161。抬升特征162包括包含顶层材料165的顶层166的部分。包含回填材料124的凹陷表面136由高度为H'的侧壁167限定。抬升特征162具有抬升表面164,其在该实施例中不具有适用于给定的SA材料的自组装的性质。凹陷表面136和侧壁167具有对于给定的SA材料的自组装合适的表面性质。
用中性材料对抬升表面164进行表面改性,产生结构170(图6B),混杂预制图案。结构170包括图案化层171,所述图案化层171包括抬升特征172和凹陷特征173。图案化层171还包括包含回填材料124的回填层122,包含第三材料67的剩余的第三层94、和经表面改性的顶层174。抬升特征172具有经改性的抬升表面175,其对于给定的SA材料是中性的。凹陷特征173具有由侧壁177限定的凹陷表面176。凹陷表面176和侧壁177具有对于给定的SA材料的自组装合适的表面性质(即,它们的表面性质不被表面改性剂不利影响)。凹陷表面176和侧壁177可以包括表面改性材料(未示出),其量不会不利地影响给定的SA材料的自组装以在抬升表面175上产生垂直取向的薄片。在给定的蚀刻方法中,在经改性的抬升表面175下方的材料具有比在凹陷表面176下方的材料更高的蚀刻抗性。
图案化层171可以具有与图6A的图案化层161基本相同的形貌和尺寸。亦即,抬升特征162的表面改性不一定基本上改变了图案化层161的尺寸,而是改变了抬升特征162的表面性质。图6A的高度H'可以与图6B的高度HN基本相同。图6B的抬升特征172的宽度WE1、WE2和WE3可以与图6A的抬升特征162的W'E1、W'E2和W'E3相同或基本相同。类似地,图6B的凹陷特征173的宽度WR1和WR2可以与图6A的凹陷特征163的W'R1和W'R2相同或基本相同。在图6B中,对于给定的一对相邻的抬升表面和凹陷表面,WEi+WRi=nLo,其中n是2到30的整数,i是表示邻接关系的索引数字。侧壁177具有为约0.1Lo至约2.0Lo的高度HN
方法5
图7A-7B示出了从结构180(图7A)开始形成混杂预制图案的方法5(抗蚀剂改性方法),结构180类似于图3C的结构80,但有以下不同。结构180包括包含抬升特征182和凹陷特征183的形貌抗蚀剂图案181。抬升特征182包含抗蚀剂材料187并且具有高度为H'的侧壁184。抗蚀剂图案181设置在包含非中性材料186的非中性层185上。凹陷特征183具有包含非中性材料186的凹陷表面188并且由侧壁184限定。凹陷表面188和侧壁184具有对于给定的SA材料的自组装合适的表面性质。抬升表面189不具有对于给定的SA材料的自组装合适的表面性质。
用中性材料对抬升表面189进行表面改性(例如,通过用刷状聚合物处理抬升表面189),产生结构190(图7B),一种混杂预制图案。结构190包括包含抬升特征192和凹陷特征193的经改性的抗蚀剂图案191。抬升特征192具有经改性的抬升表面199,其对于给定的SA材料是中性的。凹陷特征193具有由侧壁194限定的凹陷表面198。凹陷表面198和侧壁194具有用于给定的SA材料的自组装的所需的表面性质(即,凹陷表面188和侧壁184的表面性质不被表面改性剂不利影响)。凹陷表面198和侧壁194可以包括表面改性材料(未示出),其量不会不利地影响给定的SA材料的自组装以在抬升表面199上产生垂直取向的薄片。在给定的蚀刻方法中,在经改性的抬升表面199下方的材料具有比在凹陷表面198下方的材料更高的蚀刻抗性。
经改性抗蚀剂图案191可以具有与图7A的抗蚀剂图案181基本相同的形貌和尺寸。亦即,抬升特征182的表面改性不一定基本上改变了抗蚀剂图案181的尺寸,而是改变了抬升特征182的表面性质。图7A的高度H'可以与图7B的高度HN基本相同。图7B的经改性的抬升特征192的宽度WE1、WE2和WE3可以与图7A的抬升特征182的宽度W'E1、W'E2和W'E3相同或基本相同。类似地,图7B的经改性的凹陷特征193的宽度WR1和WR2可以与图7A的凹陷特征183的宽度W'R1和W'R2相同或基本相同。在图7B中,对于给定的一对相邻的抬升表面和凹陷表面,WEi+WRi=nLo,其中n是3到30的整数,i是表示邻接关系的索引数字。侧壁194具有为约0.1Lo至约2.0Lo的高度HN
垫层材料
这里,垫层是与SA层的底部接触的任何层。垫层包括中性层、非中性层、回填层、经表面改性的抗蚀剂层、基材层、经表面改性的基材层和经蚀刻的基材层。垫层材料是与SA层的底部接触的任何材料。垫层材料可以包括有机材料、无机材料、有机金属材料或上述材料的组合。对于给定的SA材料,垫层材料可以是中性的或非中性的,具有以下限制。预制图案的抬升表面的垫层材料对于给定的SA材料是中性的。在上述条件下,预制图案的凹陷表面和侧壁的垫层材料对于SA材料可以独立地为中性或非中性的。通常对一个域优先的侧壁表面还用作定向特征的一部分,并且可以在预制图案制造工艺期间通过所选择的垫层材料进行改进。用于抬升表面和凹陷表面的垫层材料的选择取决于SA材料的选择、包括用于光蚀刻(lithography)和等离子体蚀刻方法的抗蚀剂材料的预制图案的制造方法、以及预制图案的尺寸。因此,不试图单独分类用于形成混杂预制图案的中性和非中性垫层材料。以下是用于形成上述中性层、回填层、非中性层和各种经改性表面的潜在用途的垫层材料的一般讨论。应当理解,基材层的表面和经蚀刻的基材层的表面可潜在地用于混杂预制图案的抬升表面和凹陷表面,而无需进一步的表面改性。可选地,基材层和经蚀刻的基材层的表面可以用相对于SA材料具有合适的润湿性能的垫层材料进行表面改性。
垫层材料包括包含羟基的聚合物。这些包括羟基封端的聚合物(例如,羟基封端的聚(苯乙烯-共-甲基丙烯酸甲酯)以及羟基封端的聚(苯乙烯)、羟基封端的聚(甲基丙烯酸甲酯)和聚(苯乙烯-嵌段-甲基丙烯酸甲酯)的共混物),羟基官能化的聚合物(例如,聚(苯乙烯-共-甲基丙烯酸甲酯-共-甲基丙烯酸-2-羟乙酯))。其它垫层材料包括包含反应性基团的材料,例如衍生自环氧二环戊二烯甲基丙烯酸酯、甲基丙烯酸缩水甘油酯或肉桂酸乙烯酯的那些。包含反应性基团的示例性材料包括聚(苯乙烯-共-环氧二环戊二烯甲基丙烯酸酯),聚(苯乙烯-共-甲基丙烯酸甲酯-共-环氧二环戊二烯甲基丙烯酸酯),聚(苯乙烯-共-甲基丙烯酸甲酯-共-甲基丙烯酸缩水甘油酯),聚(苯乙烯-共-甲基丙烯酸甲酯-共-肉桂酸乙烯酯),聚(苯乙烯-共-甲基丙烯酸甲酯-共-乙烯基苯并环丁烷)和聚(α-甲基苯乙烯-共-甲基丙烯酸甲酯)。反应性聚合物可以单独或与额外的交联剂一起作为热或光化学处理的结果而反应。特别地,可以使用诸如强酸性物种的催化物种来促进反应。强酸性物种可以直接掺入垫层材料或包含垫层材料的溶液中。可选地,热产酸剂或光产酸剂分子可以分别用于由热或光化学处理产生酸性物种。上述材料特别适合与包含聚(苯乙烯-嵌段-甲基丙烯酸甲酯)嵌段共聚物的SA材料一起使用。聚(甲基戊二酰亚胺)(PMGI)可以是用于包含聚(苯乙烯-嵌段-环氧乙烷)嵌段共聚物的SA材料的垫层材料。
垫层材料的其他非限制性例子包括在ARC层中使用的材料,其可以包括选自聚双苯酚、聚砜、聚碳酸酯、聚氢醌、聚邻苯二甲酸酯、聚苯甲酸酯、聚苯基醚、聚氢醌烷基化物、聚氨基甲酸酯、聚丙二酸酯的均聚物和共聚物及其混合物。通常官能化这些结构部分以调节聚合物所需的物理性质(例如,光学性质、表面能、抗蚀刻性)。聚合物组分通常还含有沿着聚合物分布的多个反应性位点,用于与交联组分反应。
在ARC层中使用的更具体的材料包括聚(4,4'-亚甲基双酚-共-表氯醇),聚(4,4'-亚乙基双酚-共-表氯醇),聚(4,4'-亚异丙基双酚-共-表氯醇),聚(4,4'-亚异丙基双[2-甲基苯酚]-共-表氯醇),聚(4,4'-亚异丙基双[2,6-二甲基苯酚]-共-表氯醇),聚(4,4'-亚环己基双酚-共-表氯醇),聚(4,4'-[1-苯基亚乙基]双酚-共-表氯醇),聚(4,4'-三氟亚异丙基双酚-共-表氯醇),聚(4,4'-六氟亚异丙基双酚-共-表氯醇),聚(4,4'-磺酰基双酚-共-表氯醇),聚(双酚AF己二酸酯),聚(双酚AF丁二酸酯),聚(4,4'-六氟亚异丙基二邻苯二甲酸酯-共-表氯醇),聚(4,4'-六氟亚异丙基二邻苯二甲酸酯-共-聚(双酚AF),聚(4,4'-六氟亚异丙基双苯甲酸酯-共-表氯醇),聚(3,3',4,4'-二苯甲酮四羧酸酯-共-表氯醇),聚(4,4'-六氟亚异丙基二邻苯二甲酸酯-共-表氯醇-共-2,6-双[羟甲基]-对-甲酚),聚(3,3',4,4'-二苯甲酮四羧酸酯-共-表氯醇-共-2,6-双[羟甲基]-对-甲酚),聚(对苯二甲酸酯-共-表氯醇),聚(2-硝基对苯二甲酸酯-共-表氯醇),聚(2-硝基邻苯二甲酸酯-共-表氯醇),聚(2-硝基间苯二甲酸酯-共-表氯醇),聚(氢醌-共-表氯醇),聚(甲基氢醌-共-表氯醇),聚(1,2,4-苯三醇-共-表氯醇),聚(亚甲基-双[4-氨基苯基]-共-甘油氨基甲酸酯),聚(亚异丙基-双[4-氨基苯基]-共-甘油氨基甲酸酯),聚(亚异丙基-双[3-羧基-4-氨基苯基]-共-甘油氨基甲酸酯),聚(亚甲基-双[4-羟基苯基]-共-碳酸甘油酯),聚(亚异丙基-双[4-羟基苯基]-共-碳酸甘油酯),聚(亚异丙基-双[3-羧基-4-羟基苯基]-共-碳酸甘油酯),聚(2-苯基-1,3-丙二醇丙二酸酯),聚(2-苯基-1,3-丙二醇2-甲基-丙二酸酯),聚(1,3-丙二醇亚苄基-丙二酸酯),聚(2-苯基-1,3-丙二醇亚苄基-丙二酸酯),缩水甘油基封端的聚(双酚A-共-表氯醇)和来自Shin Etsu的含硅抗反射涂层A940。另一种更具体的垫层材料包括聚(苯乙烯-共-环氧二环戊二烯甲基丙烯酸酯)无规共聚物,P(S-r-EDCPMA):
其中x和y各自为大于1的整数。
其它垫层材料包括聚(苯乙烯-共-甲基丙烯酸甲酯-共-环氧二环戊二烯甲基丙烯酸酯),聚(苯乙烯-共-甲基丙烯酸甲酯-共-甲基丙烯酸缩水甘油酯),聚(苯乙烯-共-甲基丙烯酸甲酯-共-甲基丙烯酸-2-羟乙酯),聚(苯乙烯-共-甲基丙烯酸甲酯-共-肉桂酸-4-乙烯基酯),聚(苯乙烯-共-甲基丙烯酸甲酯-共-乙烯基苯并环丁烷),聚(苯乙烯-共-乙烯基苯并环丁烷),聚(α-甲基苯乙烯-共-甲基丙烯酸甲酯)和聚(甲基戊二酰亚胺)(PMGI)。
其它垫层材料包括聚合物刷材料,包括羟基封端的聚(苯乙烯-共-甲基丙烯酸甲酯),聚(苯乙烯-共-甲基丙烯酸甲酯-共-甲基丙烯酸-2-羟乙酯),羟基封端的聚(苯乙烯),羟基封端的聚(甲基丙烯酸甲酯),聚(苯乙烯-嵌段-甲基丙烯酸甲酯)嵌段共聚物,以及前述材料的组合。
其它垫层材料包括自组装单层。
包括垫层材料的层(例如中性层,非中性层)可以包括例如厚度为1nm至20nm的薄电介质材料。
混杂预制图案的抬升表面包括具有与用于自组装的BCP的每个嵌段基本上相同的亲和性的中性垫层材料。凹陷表面可以包括中性垫层材料或非中性垫层材料,其具有对用于自组装的BCP的少于所有嵌段(通常为一个嵌段)的优先亲和力。在一个实施方案中,中性垫层材料和/或非中性垫层材料是无规共聚物。在另一实施方案中,中性垫层材料和/或非中性垫层材料包括抗蚀刻材料。
在任何特定情况下,垫层材料的取向控制机理是复杂的。不受任何具体理论的约束,垫层材料的取向控制机理可以取决于净表面能、润湿特性、表面能分布、氢键、净偶极矩、偶极矩密度和/或组成。具有所需特征的垫层表面可以包括:交联的有机聚合物,包括基于环氧的均聚物或共聚物,有机均聚物或共聚物;自组装单层,聚合物刷,交联的有机硅酸盐,无规共聚物刷,无规交联的共聚物,聚合物刷或交联的聚合物的混合物,ARC材料,氧化的硅和前述材料的组合。
用于取向控制的表面改性
聚合物刷可以提供对于SA材料为中性或非中性的经改性表面。可以通过使用具有所需组成的聚合物刷前体将表面反应性地改性至所需厚度和表面性质来形成经改性表面,然后通常进行额外的冲洗步骤以去除未结合的材料。可以微调无规共聚物刷层的组成以提供所需的表面性质。这在一些情况下可以通过以精确的比例无规共聚合两种单体,例如与制备用于自组装的嵌段共聚物中所使用的相同的单体来实现。在其中存在其他有用的自组装材料(即,可形成域的那些),对其而言合成每个聚合物嵌段组分的重复单元的无规共聚物是不可行的(例如其中需要不同聚合机理的情况)的情况下,可以使用端基官能化或含有反应性基团的单体的引入,来官能化聚合物以提供接枝位点。也可以使用基于例如乙烯基苯并环丁烯的热可交联垫层。也可以使用基于自组装材料的单体与适当的官能单体(例如具有叠氮基团,缩水甘油基或丙烯酰基的单体)的无规共聚物的光可图案化垫层。
垫层表面也可以是由单体制得的交联的含环氧基聚合物的涂层,所述单体包括(甲基)丙烯酸缩水甘油酯、(甲基)丙烯酸2,3-环氧环己基酯、(甲基)丙烯酸(2,3-环氧环己基)甲基酯,5,6-环氧降冰片烯(甲基)丙烯酸酯,(甲基)丙烯酸环氧二环戊二烯基酯,以及包含前述中的至少一种的组合。在本文中,当使用“(甲基)丙烯酸酯”时,除非另有说明,否则预期为丙烯酸酯或甲基丙烯酸酯。在一些实施方案中,例如,特别有用的单体包括甲基丙烯酸缩水甘油酯和甲基丙烯酸环氧二环戊二烯基酯。
含环氧基的聚合物还包括除含环氧基的单体外进一步含有至少一种另外的单体的共聚物或三元共聚物。示例性的另外的单体包括(甲基)丙烯酸甲酯,(甲基)丙烯酸乙酯,(甲基)丙烯酸丁酯,(甲基)丙烯酸己酯,(甲基)丙烯酸环己酯,(甲基)丙烯酸苄酯,(甲基)丙烯酸乙基环戊酯,(甲基)丙烯酸甲基环戊酯,(甲基)丙烯酸二环戊酯,(甲基)丙烯酸2-羟乙酯,(甲基)丙烯酸2-羟丙酯,(甲基)丙烯酸羟基金刚烷基酯,(甲基)丙烯酸金刚烷基酯、(甲基)丙烯酸甲基金刚烷基酯,(甲基)丙烯酸乙基金刚烷基酯,(甲基)丙烯酸苯基金刚烷基酯,(甲基)丙烯酸羟基金刚烷基酯,(甲基)丙烯酸异冰片酯,(甲基)丙烯酸苄酯,(甲基)丙烯酸γ-丁内酯,5-甲基丙烯酰氧基-2,6-降冰片烷碳内酯,5-丙烯酰氧基-2,6降冰片烷碳内酯,(甲基)丙烯酸2,2,2-三氟乙酯,(甲基)丙烯酸1,1,1,3,3,3-六氟异丙酯,苯乙烯,4-甲基苯乙烯,α-甲基苯乙烯,4-羟基苯乙烯,4-乙酰氧基苯乙烯,乙烯,丙烯,1-丁烯,1,3-丁二烯,乙酸乙烯酯,二氢吡喃,降冰片烯,马来酸酐或包括前述的另外的单体中的至少一种的组合。可以通过改变共聚单体的比例和身份和/或通过选择(甲基)丙烯酸酯上的侧基的结构和/或官能度来调节含环氧基聚合物的组成。
特别有用的含环氧基聚合物包括聚(甲基丙烯酸环氧二环戊二烯基酯)均聚物或聚(苯乙烯-无规-甲基丙烯酸环氧二环戊二烯基酯),苯乙烯和甲基丙烯酸环氧二环戊二烯基酯的无规共聚物。
基材的基于有机硅酸盐或氧化硅的涂层也可用于提供垫层表面。可以通过沉积二氧化硅或有机硅酸盐作为气相沉积层,或作为旋涂层(有机旋涂玻璃,缩写为OSG)来提供有用的表面。这些材料的有机硅酮,有机或氢化倍半硅氧烷(hydridosilsesquioxane)或混杂体系可用于提供垫层表面,其中这种有机硅酸盐涂层有利地为可交联的,以形成固体垫层表面。有用的有机硅酸盐包括衍生自氢化三烷氧基硅烷、烷基三烷氧基硅烷、烷基三卤硅烷、二烷基二烷氧基硅烷、二烷基二卤硅烷、四烷氧基硅烷、双(亚烷基三烷氧基硅烷)等的酸或碱催化的水解缩合的那些。可用于制备有机硅酸盐的示例性烷氧基硅烷包括氢化三甲氧基硅烷,氢化三乙氧基硅烷,二甲基二甲氧基硅烷,二甲基二乙氧基硅烷,甲基三甲氧基硅烷,乙基三甲氧基硅烷,乙基三乙氧基硅烷,丙基三甲氧基硅烷,丙基三乙氧基硅烷,环己基三乙氧基硅烷,苯基三乙氧基硅烷,四甲氧基硅烷,四乙氧基硅烷(TEOS),1,2-亚乙基双(三乙氧基硅烷),乙烯基三甲氧基硅烷,乙烯基三乙氧基硅烷,缩水甘油氧基丙基三甲氧基硅烷,环氧环己基乙基三乙氧基硅烷,(甲基)丙烯酰氧基丙基三甲氧基丙基硅烷,(甲基)丙烯酰氧基丙基三乙氧基丙基硅烷,这些的组合等。
垫层(例如,中性层,非中性层,抗蚀剂层,回填层,基材层)可以包括抗蚀刻材料,所述抗蚀刻材料包括但不限于选自氢倍半硅氧烷、甲基倍半硅氧烷、烷基倍半硅氧烷、烯烃倍半硅氧烷、芳基倍半硅氧烷、亚芳基倍半硅氧烷、基于硅的抗蚀剂、无机抗蚀剂、基于硅的ARC、基于金属的ARC、氧化硅、氮氧化硅、基于硅的旋涂电介质、金属、金属氧化物、金属氮化物、金属氮氧化物和金属碳化物的材料,条件是存在适当的蚀刻化学作用,用于相对于其它域和抗蚀刻材料选择性地去除自组装材料的一些域。在一个实施方案中,抗蚀刻材料可以包括氢倍半硅氧烷。
形成在基材上的这种抗蚀刻材料的层可以通过任何合适的方法沉积,并没有特别限制。当抗蚀刻材料溶于溶液中时,将抗蚀刻材料层施加到基材表面可以通过包括例如旋转流延、浸涂、刮刀刮涂,喷雾分配或其它合适的涂布方法(其与微电子制造装配线中使用的工艺和设备是兼容的)完成。示例性的流延溶剂包括但不限于:甲苯、丙二醇单甲醚乙酸酯(PGMEA)、丙二醇单甲醚(PGME)、丙酸乙氧基乙酯、苯甲醚、乳酸乙酯、2-庚酮、环己酮、乙酸戊酯、乙酸正丁酯、γ-丁内酯(GBL)、水溶液、丙酮或上述流延溶剂的组合。
在另一实施例中,可以通过包括化学气相沉积(CVD)、等离子体增强的CVD、原子层沉积(ALD)、溅射、热蒸发、电子束蒸发、脉冲激光沉积或与微电子制造中使用的工艺和设备兼容的其他合适沉积方法的方法,从气相沉积抗蚀刻材料。
垫层材料(underlayer material)可以通过任何合适的方法施加,并没有特别限制。在需要基于聚合物的垫层材料的情况下,将这种层施加至基材表面可以通过包括例如旋转流延、浸涂、刮刀刮涂,喷雾分配或其它合适的涂布方法(其与微电子制造装配线中使用的工艺和设备是兼容的)完成。
可用于在基材上流延垫层材料的溶剂随着这些材料的溶解度要求而变化。示例性的流延溶剂包括但不限于:甲苯、丙二醇单甲醚乙酸酯(PGMEA)、丙二醇单甲醚(PGME)、丙酸乙氧基乙酯、苯甲醚、乳酸乙酯、2-庚酮、环己酮、乙酸戊酯、乙酸正丁酯、γ-丁内酯(GBL)和丙酮。
垫层材料所需的表面能或组成取决于应用。例如,为了形成聚苯乙烯(PS)-聚(甲基丙烯酸甲酯)(PMMA)垂直取向的薄片,可以使用PS-r-PMMA无规共聚物层作为中性垫层材料。
作为另一个例子,对于未图案化的表面,刷组合物可以包含57-70%的聚苯乙烯(PS)。然而,用于图案化的表面的理想垫层材料(例如,具有化学预制图案的材料)可以不同于为未图案化的表面设计的垫层材料,并且理想的垫层材料可以取决于化学图案的定向部分的组成(例如PS或PMMA优先)和放大因子,如Liu等人,"Chemical Patterns forDirected Self-Assembly of Lamellae-Forming Block Copolymers with DensityMultiplication of Features",Macromolecules,2013,46,1415-1424。空间频率放大的放大因子是指化学预制图案的间距/自组装材料的周期性的比率。对于不同的BCP形态(例如,垂直圆柱体相对于薄片),理想的垫层材料可以是不同的。
对于给定的垫层材料,材料的功效和表面性质可以取决于各种因素。例如,垫层改性基材的功效和表面性质可以取决于垫层材料的厚度,在垫层材料下方的表面,垫层材料的工艺条件以及基材的形貌和图案密度,其中垫层被用于表面性质控制。垫层材料的分子结构可以产生影响。例如,由于刷的迁移性的差异,聚合物刷垫层材料可具有与交联的垫层材料不同的组成范围。由于相同的原因,与较低分子量的刷相比较,较高分子量的刷可以起不同的作用。对于具有相同组成但分子量不同的交联的垫层材料,可以观察到取向控制功效的类似变化。根据聚合物嵌段组分中的表面自由能的相对差异,取向控制的功效也可以取决于在垫层上方的自组装材料层的厚度。即使每个聚合物域的大部分的精确取向是垂直于基材,聚合物域在空气界面或聚合物/垫层界面处的精确取向也可以不是垂直于基材。垫层材料即使在弱优先的情况下仍然可以起作用。
基材
基材可以包括无机或有机材料,例如金属、碳或聚合物。更具体地,基材可以包括半导体材料,其包括例如Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP以及其它III-V或II-VI化合物半导体。基材还可以包括诸如Si/SiGe或绝缘体上半导体(SOI)的分层半导体。特别地,基材可以含有含Si的半导体材料(即包括Si的半导体材料)。半导体材料可为经掺杂的、非掺杂的或在其中包含经掺杂和非掺杂的区域两者。包含表面亲和性材料如硅自然氧化物、氧化硅或氮化硅的基材可优选地被例如PMMA嵌段组分润湿,但不能被PS-b-PMMA嵌段共聚物的PS嵌段组分润湿。因此,包含这些表面亲和性材料的表面可以通过化学取向生长来定向PS-b-PMMA嵌段共聚物的自组装。基材可具有顶部ARC层或底部ARC(BARC)层,以降低膜叠层的反射率。许多合适的BARC在文献中是已知的,包括单层BARC,双层BARC,分级BARC和可开发的BARC(DBARC)。基材还可以包括硬掩模、转印层(例如平坦化层,旋涂玻璃层,旋涂碳层)以及分层器件所需的其它材料。
光蚀刻
形成混杂预制图案的过程可以使用各种类型的辐射,包括波长为400nm至300nm的紫外(UV)辐射,波长为300nm至150nm的深紫外(DUV)辐射,波长为120nm至4nm的远紫外(EUV)辐射,电子束(e-束)辐射,x射线辐射以及前述的组合。示例性的辐射源包括单波长和/或窄带辐射源,特定汞发射线,激光,和粒子束发射器。对于较不严格的条件,可以使用宽带多波长源。更具体地,用于图案式曝光的辐射波长可以是例如405nm,313nm,334nm,257nm,248nm,193nm,157nm,126nm或13.5nm。甚至更特别地,用于图案式曝光的辐射的波长可以小于250nm。在一个实施方案中,辐射波长选自248nm、193nm、157nm和13.5nm。
可以通过本领域已知的各种方法制备混杂预制图案的高蚀刻抗性(抬升表面)和低蚀刻抗性(凹陷表面)的平面内图案。例如,可以通过在电子束直写式光蚀刻或EUV干涉光蚀刻工艺中曝光聚合物(例如,表面结合的聚合物刷或自组装单层)来实现图案的直接写入。可选地,可以在垫层(例如,中性层,非中性层)的顶部上图案化常规光致抗蚀剂以形成图案化的光致抗蚀剂特征。光致抗蚀剂图案可以在蚀刻过程中保护下层表面(underlyingsurface),该蚀刻过程或者通过去除垫层材料以露出下层基材或者通过对垫层材料造成足够的损害使其变得对SA材料的一个自组装域优先而产生非中性表面(即,对于自组装材料的一个域具有特定亲和力的表面)。然后可以通过有机溶剂冲洗去除保护性光致抗蚀剂层,以暴露具有期望表面性质的垫层。
不是选择性地去除或改变垫层材料,而是已经开发了可以在常规抗反射涂层顶部图案化的负色调可光图案化的垫层材料。可选地,在垫层材料的顶部上直接图案化交联负色调光致抗蚀剂可以产生化学图案。最好的经报道的努力已经使用了氢倍半硅氧烷负色调电子束光致抗蚀剂(在实施例6中使用)。
已经报道了许多与常规193nm浸没光蚀刻相容的方案,其也适用于例如由Cheng等人描述的首先图案-最后中和的方案。"Simple and Versatile Methods To IntegrateDirected Self-Assembly with Optical Lithography Using a Polarity-SwitchedPhotoresist",ACS Nano,2010,4,4815-4823。在Liu等人描述的替代方法,"Fabricationof Lithographically Defined Chemically Patterned Polymer Brushes and Mats",Macromolecules,2011,44,1876-1885中,光致抗蚀剂在交联的毡片(mat)(在交联的聚(苯乙烯)的情况下)的顶部被图案化。随后的蚀刻方法用于突破毡片至下层基材并横向修整交联的毡片。剥离抗蚀剂,并且将下层材料接枝到未被交联的聚(苯乙烯)毡片覆盖的基材的部分。
可以用于创建合适图案的其它技术包括纳米压印光蚀刻,聚合物着墨/冲压,分子转印,浸笔光蚀刻和纳米探针光蚀刻(包括热、电子发射和其它变体)。
已知用于创建本领域已知的形貌图案的任何方法可用于创建混杂预制图案。形貌图案可以由光致抗蚀剂(交联的或以其他方式稳定的)、抗反射涂层(例如、底部抗反射涂层(BARC)、可显影的底部抗反射涂层(DBARC)、光敏的底部抗反射涂层(PS-BARC)、硅抗反射涂层(SiARC))、无机或金属硬掩模层、有机平面化层、旋涂碳层、电介质层、CVD碳层、无机氧化物层、无机氮化物层、和/或无机碳化物层)组成。形貌预制图案的底表面可以由垫层材料或具有经微调的表面性质的其它材料构成。保护垫层材料的表面性质的一种方法是使用利用有机显影剂的负色调成像方法对在垫层材料顶部的可交联(或热可硬化)光致抗蚀剂成像。聚合物刷可以接枝到形貌图案的多个表面中的一个上。创建图形取向生长模板的其他方法是使用所谓的侧壁图像转印(或自对准双图案化)技术,其中在心轴上施加适形无机材料(通常通过化学气相沉积或类似方法)。可以去除心轴以产生具有两倍空间频率的形貌结构。该方法的变体可以用于创建具有初始心轴结构的三倍或四倍频率的形貌结构。
自组装材料
SA材料由可以相分离成域的不混溶的材料组成。相分离可以使用不同类型的聚合物共混物(例如二元、三元)以及包含两种或更多种不混溶的聚合嵌段组分的嵌段共聚物发生。本文所用的术语“不混溶”是指在某些工艺条件下足够不相容以致相分离的两种或更多种组分(例如嵌段共聚物的嵌段,聚合物共混物的聚合物等)。
用于定向自组装的SA材料可以包括不混溶的聚合物共混物。聚合物在聚合物共混物中的不混溶性可以取决于聚合物共混物的组成以及成膜过程。可以使用聚合物的比例、共混物中各聚合物的分子量、和共混物中其它另外的组分的存在来调节聚合物共混物中聚合物的相容性。温度、涂布条件和垫层表面性能也会影响聚合物的相分离。如本文所用,“不混溶的聚合物”是在聚合物共混物组合物中、在适当的工艺条件下在适当制备的垫层表面上相分离的聚合物。
用于两种或更多种不混溶的聚合物共混物的聚合物的例子包括:纤维素,聚(丙烯酰胺),聚乙烯亚胺,聚(丙烯酸),聚(2-乙基-2-噁唑啉),聚(环氧乙烷),和聚(乙烯醇),酚醛清漆树脂,甲酚树脂,聚(羟基苯乙烯),聚(丙烯酸),聚(苯乙烯磺酸),聚(乙烯基磷酸),聚(乙烯基磺酸),聚(甲基丙烯酸2-磺基乙基酯),聚(2-磺基丙基二甲基-3-甲基丙烯酰胺),聚(甲基丙烯酸1,1,1-三氟-2-(三氟甲基)-2-羟基-戊烷-4-基酯),基于氟代醇的聚合物,聚(2-乙基-三氟甲烷磺酰胺甲基丙烯酸酯),酸性磺酰胺聚合物,聚(苯乙烯),聚(甲基丙烯酸羟基金刚烷基酯),聚(甲基丙烯酸异冰片酯),聚(甲基丙烯酸苯酯),聚(乙烯基萘),聚硅氧烷,聚甲基倍半硅氧烷,聚碳硅烷,聚(乙烯基二茂铁),聚(酰基腈),聚(己内酯),聚(丙交酯),聚(甲基丙烯酸甲酯),聚(甲基丙烯酸2-羟乙酯),聚(γ-丁内酯甲基丙烯酸酯),聚(甲基丙烯酸四氢呋喃基酯),聚(甲基丙烯酸四氢吡喃基酯),聚(烯丙基胺),聚(4-氨基苯乙烯),聚(甲基丙烯酸2-二甲氨基乙基酯),聚乙烯亚胺,聚(N-甲基乙烯基胺),聚(乙烯基吡啶),聚(异戊二烯),聚(丁二烯),聚(降冰片烯),聚(乙烯),聚(丙烯),聚(甲基丙烯酸1,1,1-三氟-2-(三氟甲基)-2-羟基-戊烷-4-基酯),基于氟代醇的聚合物,聚(2-乙基-三氟甲磺酰胺甲基丙烯酸酯),酸性氟磺酰胺聚合物,聚(甲基丙烯酸2,2,2-三氟乙基酯),聚(甲基丙烯酸六氟异丙基酯),聚(2,3,4,5,6-五氟苯乙烯)及其取代的衍生物。可以选择两种或更多种不混溶的聚合物,使得每种聚合物与共混物中每种另外的聚合物不混溶。
SA材料可以包含嵌段共聚物或嵌段共聚物和其它聚合物的共混物。在一个实施方案中,嵌段共聚物基本上由彼此不混溶的第一聚合嵌段组分A和第二聚合嵌段组分B组成。通常,合适的不混溶的嵌段组分的均聚物形成表现出代表各均聚物相的多个玻璃化转变温度的共混物。优选地,可选择性地去除组分A和B中的一种而不必去除另一种,从而在可去除组分已被去除之后形成由未被去除的组分构成的分离且有序排列的结构单元,或者包含分离且有序排列的空腔的连续结构层。可选地,组分A和B可以简单地具有不同的电、光学和/或磁性质,使得由这种组分A和B组成的有序图案可用于制造不同的器件结构。
嵌段共聚物可以包括包含一种或多种单体的嵌段,并且嵌段共聚物中的至少两个嵌段在组成上不相同、结构上不相同或在组成和结构上都不相同。示例性的嵌段共聚物包括二嵌段共聚物、三嵌段共聚物或多嵌段共聚物,其中任何一种可以与DSA一起使用以进一步提高分辨率。嵌段本身可以是均聚物或共聚物,包括三元共聚物。形成化学上不同的域的相分离结构的SA材料可以包含两亲有机嵌段共聚物、两亲无机嵌段共聚物、有机二嵌段共聚物、有机多嵌段共聚物、含无机二嵌段共聚物、含无机多嵌段共聚物、线型嵌段共聚物、星形嵌段共聚物、树枝状嵌段共聚物、超支化嵌段共聚物、接枝嵌段共聚物、瓶刷嵌段共聚物或包含至少一种前述嵌段共聚物的组合。在一个实施方案中,嵌段共聚物是二嵌段共聚物。组合合适的三嵌段共聚物和化学预制图案,例如,可以用来将化学预制图案的空间频率四倍化。
嵌段组分通常可以是任何合适的微域形成嵌段,其可与另一不同嵌段共聚、连接至该另一不同嵌段或与该另一不同嵌段自组织。嵌段可以衍生自不同的可聚合单体,其中嵌段可包括但不限于:聚烯烃,包括聚二烯;聚醚,包括聚(环氧烷)如聚(环氧乙烷),聚(环氧丙烷),聚(环氧丁烷);或这些的无规或嵌段共聚物;聚((甲基)丙烯酸酯),聚苯乙烯,聚酯,聚有机硅氧烷,聚有机锗烷等。
在一个实施方案中,嵌段共聚物的嵌段包含衍生自C2-30烯属单体,衍生自C1-30醇的(甲基)丙烯酸酯单体,含无机单体(包括基于Fe、Si、Ge、Sn、Al、Ti的那些)、或包含至少一种前述单体的组合的重复单元。在具体实施方案中,用于嵌段的示例性单体可包括作为C2-30烯属单体的乙烯,丙烯,1-丁烯,1,3-丁二烯,异戊二烯,乙酸乙烯酯,二氢吡喃,降冰片烯,马来酸酐,苯乙烯,4-羟基苯乙烯,4-乙酰氧基苯乙烯,4-甲基苯乙烯或α-甲基苯乙烯;并可以包括作为(甲基)丙烯酸酯单体的(甲基)丙烯酸甲酯,(甲基)丙烯酸乙酯,(甲基)丙烯酸正丙酯,(甲基)丙烯酸异丙酯,(甲基)丙烯酸正丁酯,(甲基)丙烯酸异丁酯,(甲基)丙烯酸正戊酯,(甲基)丙烯酸异戊酯,(甲基)丙烯酸新戊酯,(甲基)丙烯酸正己酯,(甲基)丙烯酸环己酯,(甲基)丙烯酸异冰片酯或(甲基)丙烯酸羟乙酯。可以使用这些单体中的两种或更多种的组合。为均聚物的示例性嵌段包括使用苯乙烯制备的嵌段(即聚苯乙烯嵌段),或(甲基)丙烯酸酯均聚物嵌段如聚(甲基丙烯酸甲酯);示例性的无规嵌段包括例如无规共聚的苯乙烯和甲基丙烯酸甲酯的嵌段(例如,聚(苯乙烯-共-甲基丙烯酸甲酯));并且示例性的交替共聚物嵌段可以包括由于马来酸酐在大多数条件下不能均聚而已知形成苯乙烯-马来酸酐二元重复结构的苯乙烯和马来酸酐的嵌段(例如,聚(苯乙烯-alt-马来酸酐)),其中“-alt-”表示交替的聚合物嵌段。应当理解,这样的嵌段是示例性的,并且不应被认为是限制性的。
更具体的二嵌段或三嵌段共聚物包括聚(苯乙烯-b-乙烯基吡啶)(PS-b-PVP),聚(苯乙烯-b-丁二烯)(PS-b-PBD),聚(苯乙烯-b-异戊二烯)(PS-b-PI),聚(苯乙烯-b-甲基丙烯酸甲酯)(PS-b-PMMA),聚(苯乙烯-b-链烯基芳族化合物),聚(异戊二烯-b-环氧乙烷)(PI-b-PEO),聚(苯乙烯-b-(乙烯-丙烯)),聚(环氧乙烷-b-己内酯),聚(丁二烯-b-环氧乙烷)(PBD-b-PEO),聚(苯乙烯-b-(甲基)丙烯酸叔丁酯),聚(甲基丙烯酸甲酯-b-甲基丙烯酸叔丁酯),聚(环氧乙烷-b-环氧丙烷),聚(苯乙烯-b-四氢呋喃),聚(苯乙烯-b-二甲基硅氧烷)(PS-b-PDMS),聚(苯乙烯-b-二茂铁基二甲基硅烷)(PS-b-PFS),聚(苯乙烯-b-异戊二烯-b-环氧乙烷)(PS-b-PI-b-PEO),聚(苯乙烯-b-异戊二烯-b-甲基丙烯酸甲酯)(PS-b-PI-b-PMMA),聚(苯乙烯-b-二茂铁基二甲基硅烷-b-异戊二烯)(PS-b-PFS-b-PI),聚(苯乙烯-b-丙交酯)(PS-b-PLA)或包含至少一种前述嵌段共聚物的组合。
自组装嵌段共聚物的具体例子可以包括但不限于:聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA),聚苯乙烯-嵌段-聚异戊二烯(PS-b-PI),聚苯乙烯-嵌段-聚丁二烯(PS-b-PBD),聚苯乙烯-嵌段-聚乙烯吡啶(PS-b-PVP),聚苯乙烯-嵌段-聚环氧乙烷(PS-b-PEO),聚苯乙烯-嵌段-聚乙烯(PS-b-PE),聚苯乙烯-b-聚有机硅酸盐(PS-b-POS),聚苯乙烯-嵌段-聚二茂铁基二甲基硅烷(PS-b-PFS),聚环氧乙烷-嵌段-聚异戊二烯(PEO-b-PI),聚环氧乙烷-嵌段-聚丁二烯(PEO-b-PBD),聚环氧乙烷-嵌段-聚甲基丙烯酸甲酯(PEO-b-PMMA),聚环氧乙烷-嵌段-聚乙基乙烯(PEO-b-PEE),聚丁二烯-嵌段-聚乙烯基吡啶(PBD-b-PVP)和聚异戊二烯-嵌段-聚甲基丙烯酸甲酯(PI-b-PMMA)。预期用于本发明方法的其它示例性嵌段共聚物包括二嵌段或三嵌段共聚物,例如聚(苯乙烯-b-链烯基芳族化合物),聚(苯乙烯-b-(乙烯-丙烯)),聚(环氧乙烷-b-己内酯),聚(苯乙烯-b-(甲基)丙烯酸叔丁酯),聚(甲基丙烯酸甲酯-b-甲基丙烯酸叔丁酯),聚(环氧乙烷-b-环氧丙烷),聚(苯乙烯-b-四氢呋喃),聚(苯乙烯-b-二甲基硅氧烷),聚(乙烯基吡啶-b-二甲基硅氧烷),聚(环氧乙烷-b-二甲基硅氧烷),聚(甲基丙烯酸甲酯-b-二甲基硅氧烷),聚(异戊二烯-b-二甲基硅氧烷),聚(异戊二烯-b-乙烯基吡啶),部分环氧化的聚(苯乙烯-b-异戊二烯),聚(丁二烯-b-乙烯基吡啶),聚(三甲基甲硅烷基苯乙烯-b-乳酸),聚(苯乙烯-b-乳酸),聚(苯乙烯-b-羟基苯乙烯),聚(苯乙烯-b-丙烯酸),聚(环氧乙烷-b-羟基苯乙烯),聚(甲基丙烯酸甲酯-b-乙烯基吡啶),聚(环氧乙烷-b-甲基丙烯酸甲酯-b-苯乙烯),聚(苯乙烯-b-异戊二烯-b-环氧乙烷),聚(甲基丙烯酸甲酯-b-苯乙烯-b-甲基丙烯酸甲酯),聚(异戊二烯-b-苯乙烯-b-二茂铁基二甲基硅烷),聚(苯乙烯-b-三甲基甲硅烷基苯乙烯-b-苯乙烯)或包含至少一种前述嵌段共聚物的组合。
聚合物共混物或嵌段共聚物还可以包括无机组分,包括含无机(inorganic-containing)均聚物、共聚物和嵌段共聚物,以及含无机(inorganic-containing)单体、分子和添加剂。这些包括例如基于硅、锗、铁、钛、铝等的那些。
嵌段共聚物理想地具有适于进一步加工的总分子量和多分散性。在一个实施方案中,嵌段共聚物具有3,000至200,000g/mol的重均分子量(Mw)。类似地,嵌段共聚物具有1,000至80,000的数均分子量(Mn)。嵌段共聚物也可以具有1.01至6的多分散性(Mw/Mn),对此没有特别限定。平均分子量Mw和Mn均可以通过例如使用校准至聚苯乙烯标准样品的通用校准方法的凝胶渗透色谱来测定。
嵌段共聚物可以通过本领域中先前描述的方法制备,包括活性聚合技术如原子转移自由基聚合(ATRP)、氮氧化物介导的自由基聚合、开环易位聚合(ROMP)和活性阳离子或活性阴离子聚合。
在一个特定实施方案中,用于形成自组装周期图案的嵌段共聚物是PS-b-PMMA。这种PS-b-PMMA嵌段共聚物中的PS和PMMA嵌段可以具有约5000至约300000的总(所有嵌段之和)的数均分子量(Mn),更典型的是总数均分子量为约10000至约200000。每个嵌段可以具有2000至100000,更特别地5000至60000的Mn。
来自嵌段共聚物薄膜的自组装域的形态(例如,形状、尺寸和取向)取决于组成(例如,不同嵌段的材料、分子量和体积比)、退火条件(例如温度、环境和退火时间)、界面性质(例如,聚合物-空气界面和聚合物基材界面)以及限定的几何形状(例如,膜厚度和限制的形貌)。因此,通过调整一个或多个参数,可以根据具体应用的需要调整形态。
为了形成自组装的周期性图案,可以首先将嵌段共聚物溶解在合适的溶剂体系中以形成嵌段共聚物溶液,然后可以将其施加到经处理的层上,从而形成设置在经处理的层上的薄的嵌段共聚物层。任选地,退火该薄的嵌段共聚物层可有助于聚合物嵌段组分的自组装过程。
在一个实施方案中,SA材料包含至少一种嵌段共聚物。用于SA材料的非限制性示例性溶剂包括经取代或未取代的芳族烃,经取代或未取代的芳族醚,经取代或未取代的芳族醇,包含5至20个碳的饱和一元醇,二醇,酮,二醇单醚和二醇单醚酯。在一个实施方案中,SA溶剂是二醇、二醇单醚或二醇单醚酯、或其组合。用于SA材料的更具体的溶剂包括但不限于:甲苯、乙二醇、丙二醇、丙二醇单甲醚乙酸酯(PGMEA)、丙二醇单甲醚(PGME)、4-甲基-2-戊醇、乙酸正丁酯、苯甲醚、丙酮及其组合。在一个实施方案中、SA材料的溶剂是乙二醇、丙二醇、丙二醇单甲醚乙酸酯(PGMEA)、丙二醇单甲醚(PGME)、4-甲基-2-戊醇或其组合。SA材料的溶液可以具有基于溶液的总重量约0.1重量百分比(wt.%)至约5wt.%SA材料的浓度。更特别地,SA材料以约0.5wt.%至约2.5wt.%的浓度溶解。在一个实施方案中,SA材料的溶液包含约0.5wt.%至约2.5wt.%的溶解在苯甲醚中的PS-b-PMMA嵌段共聚物。SA材料的溶液可以任选地进一步包含另外的嵌段共聚物、均聚物、无规共聚物、表面活性剂和光产酸剂、光产碱剂、热产酸剂、热产碱剂、酸增殖剂和光可破坏的碱。
将SA材料施加至基材表面可以通过包括例如旋转流延、浸涂、刮刀刮涂,喷雾分配或其它合适的涂布方法(其与微电子制造装配线中使用的工艺和设备是兼容的)的方法完成。
将SA材料的溶液流延在预制图案上,然后去除溶剂,从而形成设置在混杂预制图案的至少一个抬升表面和至少一个凹陷表面上的SA材料的薄膜。在一个实施方案中,SA材料设置在混杂预制图案的基本上所有的抬升表面和凹陷表面上。SA材料的溶液可以通过任何合适的技术来流延,包括但不限于:旋涂、辊涂、喷雾、油墨涂布、浸涂等。
可以任选地使用额外的退火(包括热退火、热梯度退火和溶剂蒸气退火或其它梯度场)来去除SA层中的缺陷和/或促进SA材料的自组装。更特别地,包含嵌段共聚物的SA层可以在高于嵌段共聚物的玻璃化转变温度(Tg)但低于嵌段共聚物的分解或降解温度(Td)的温度下热退火。热退火步骤可以在约100℃至约300℃的退火温度下进行。热退火可以进行约1分钟至约120小时,更特别是0.5分钟至1小时的时间。经热退火的嵌段共聚物自组装形成有序的域,其取向可垂直于下层表面平面。通常,SA层可具有100至10000埃,更特别200至5000埃,甚至更特别300至2000埃的厚度。
第二DSA
任选地,在第一自组装步骤之后,可以使用至少一种溶剂从预制图案去除包含自组装SA材料的所有或基本上所有的第一DSA层。在一个实施方案中,通过在第一DSA层的顶部施加一层溶剂并旋转晶片干燥,将所有或基本上所有的第一DSA层从预制图案去除。溶剂层的应用可以通过本领域已知的任何方法来实现,包括搅炼,喷雾和/或浸渍。在去除过程中,可以采用通过受控旋转或超声处理的动态晶片搅拌以促进去除第一DSA层。在另一个实施方案中,使用溶剂的组合。在又另一个实施方案中,以顺序的方式使用溶剂的组合。在又一个实施方案中,在去除过程之后烘烤晶片(基材)以驱除任何剩余的溶剂。
在去除第一DSA层之后,剩下的是经改性的预制图案,其包括对于SA材料具有相同或基本上相同的润湿性能的抬升表面和凹陷表面。据信,不受理论的限制,抬升表面和凹陷表面相对于原始预制图案用SA材料稍稍表面改性。因此,残留的SA材料是用于第二SA层的垫层材料。然后使用上面结合形成第一SA层描述的技术在经改性的预制图案上形成第二SA层。具体地,制备包含溶解在溶剂中的一种或多种SA材料的(第二)溶液。将溶液流延到经改性的预制图案上,然后去除溶剂,从而形成第二SA层。SA材料的第二溶液可以另外包括其它材料,包括聚合物、嵌段共聚物、表面活性剂、光产酸剂和热产酸剂。例如,可以包括可与聚(环氧乙烷-嵌段-苯乙烯)共聚物的聚(环氧乙烷)域混溶的有机硅酸盐树脂。允许或诱导第二SA层自组装,并且得到的域按照垫层经改性的预制图案的定向进行对齐,以形成具有比第一域图案少的缺陷的第二域图案。
在本文中,术语“缺陷”是指由DSA过程本身产生的那些缺陷,并不意味着包括DSA过程中不固有的常规制造缺陷(例如,与不期望的外来颗粒和/或材料相关的缺陷,以及由去除其中一个域引起的线坍塌)。例如,自组装圆柱形结构中的缺陷将包括位移误差,不连续圆柱形域和不延伸通过SA膜的圆柱。缺陷本质上也可以是取向的,例如,当需要垂直取向时,域会定向为平行于基材。
自组装
SA层的SA材料的自组装可以在成膜期间,后施加烘烤期间或在随后的退火过程期间发生。合适的退火工艺包括热退火、热梯度退火、溶剂蒸汽退火或通过其它梯度场的退火。与第一自组装结构一样,第二自组装结构包括SA材料的第一域和第二域。第一域和第二域包括SA材料的不同组分;亦即,它们在组成上是不同的。第一域可以例如包括嵌段共聚物的一个嵌段,第二域可以包括嵌段共聚物的另一嵌段。
蚀刻
其中一个域可以在另一个域的存在下被选择性地去除(例如被离子蚀刻)或改性,以产生形貌或化学对比度。选择性去除其中一个域也可以去除垫层材料和/或基材层材料。所形成的开口的浮雕图案的空间频率可以大于化学图案化表面的空间频率。选择性去除方法可以通过热烘烤(用于可热分解的材料)、反应性离子蚀刻方法、在选择性溶剂中溶解或其组合进行。化学改性可以通过各种已知方法完成。例如,域可以与硅烷或甲硅烷基氯选择性反应,以将硅含量引入域中,从而增加其抗等离子体蚀刻性。可选地,可以使用化学试剂结合或化学偶联至专门位于一种类型的自组装域中的官能团,以实现例如增加的溶解度性质差异,其可有利地用于在另一域的存在下选择性地去除一个域。来自液相的化学渗透也可用于选择性地沉积具有高抗蚀刻性的材料至嵌段共聚物中的一个域。高抗蚀刻性材料的顺序渗透合成也可以用于提高嵌段共聚物域的选择抗蚀刻性(例如,通过将三甲基铝浸入PMMA域并使三甲基铝与水反应以形成氧化铝,在PMMA域中形成氧化铝)。
嵌段共聚物的两个有序域区域之间的蚀刻速率的差异,以及预制图案的抬升表面和凹陷表面的垫层材料之间的蚀刻速率的差异允许产生复杂和定制的图案。通过蚀刻、溶剂或其它方式选择性地去除至少一个自组装域,形成纳米尺度的浮雕图案,其包括例如可以转印到下层基材中的线阵列的图案。当转移到基材时,线阵列可进一步被定制。
蚀刻的类型包括在半导体器件的制造中应用的任何常见蚀刻,例如干蚀刻(例如等离子体蚀刻)或使用选择性溶剂的湿蚀刻。通常,采用干蚀刻法,以在50nm以下的尺寸下蚀刻。
在该域图案显影/图案转印之前,可以任选地对SA材料的自组装层进行化学改性,以改进图案转印所需的性能,例如抗蚀刻性或机械性能。
可以通过材料叠层来促进图案转印。材料叠层可以包括硬掩模层,通常位于有机转印层上方的抗蚀刻无机层。材料叠层可以包括直接在化学图案化层下方的有机转印层。在一个实施方案中,材料叠层可以提供将复合图案转印到下层中所需的蚀刻选择性。
在某些情况下,可能期望反转经转印的图案,其可以以各种方式来实现。在说明性实施例中,通过将图案转印到有机转印层中形成的空腔可以填充有在蚀刻中相对于有机转印层具有蚀刻选择性的填充材料(回填材料),例如旋涂电介质(SOD)材料。任选地,可以处理填充材料以促进交联或硬化。相对于填充材料,可以选择性地去除有机转印层,以形成包括填充材料部分的互补图案。
还公开了通过任何上述方法形成的分层结构。在一个实施方案中,分层结构是半导体器件。该方法可以用于形成包括金属布线、用于接触的孔或通孔、绝缘部分(例如,波纹沟槽或浅沟槽隔离)的分层结构以及用于集成电路器件设计的电容器结构的沟槽。该方法可用于形成氧化物、氮化物或多晶硅的图案化层。
当SA材料是嵌段共聚物或含有嵌段共聚物的共混物时,该方法有利地允许相对于预制图案特征具有减小的特征宽度和增加的周期性的自组装结构。在这种情况下,域特征宽度可以为1至50nm,1至30nm,或特别为1至20nm。当SA材料是不混溶的聚合物共混物时,该方法允许光致抗蚀剂特征尺寸小于500nm,更特别地小于150nm,甚至更特别地小于100nm。域特征尺寸倾向于较大,范围为1至500nm,1至250nm,或更特别地为1至150nm。其次,该方法有利地利用相对于预制图案具有减小的特征尺寸和增加的周期性的自组装材料。
提供以下非限制性实施例以进一步说明所公开的方法。
实施例
以下实施例中使用的材料列于表1中。
表1.
P(S-r-MMA-r-GMA)的制备及其配方。
通过苯乙烯、甲基丙烯酸甲酯和甲基丙烯酸缩水甘油酯以摩尔进料比为58:40:2(分离的聚合物组合物:56:42:2,通过13C NMR测定)的自由基聚合制备聚(苯乙烯-共-甲基丙烯酸甲酯-共-甲基丙烯酸缩水甘油酯)无规共聚物。Mn=12.1kg/mol。PDI:1.59。使用P(S-r-MMA-r-GMA)和p-NBT(对硝基苄基甲苯磺酸盐)[95:5w/w比]的PGMEA溶液作为形成用于PS-b-PMMA的垫层的配方。关于制备该材料的细节,参见Cheng等人,"Simple andVersatile Methods To Integrate Directed Self-Assembly with OpticalLithography Using a Polarity Switched Photoresist",ACS Nano,2010,4,4815-4823。
P(S-r-EDCPMA)的制备及其配方。
聚(苯乙烯-共-环氧二环戊二烯甲基丙烯酸酯)无规共聚物,P(S-r-EDCPMA),是根据美国专利7521090中所述的方法,通过苯乙烯和环氧二环戊二烯甲基丙烯酸酯以摩尔比为70:30自由基聚合制备的,Mn=5819g/mol。PDI:1.51。使用聚(苯乙烯-共-环氧二环戊二烯甲基丙烯酸酯)和N-邻苯二甲酰亚胺三氟甲磺酸酯[95:5w/w比]的PGMEA溶液作为用于形成用于SA材料PS-b-PMMA的垫层的配方。
实施例1.通过回填方法制备的各种混杂预制图案上的示例性工作DSA。为了清楚起见,下面提供了层图。
用50nm厚的有机硬掩模(“ODL”,Shinetsu ODL-401)和10nm厚的硅抗反射涂层(“SiARC”,Shinetsu SHB A-940L10)涂布硅晶片基材(5英寸直径),以形成混杂预制图案的构筑叠层。用氧反应性离子蚀刻(O2RIE)处理该叠层45秒以氧化SiARC表面。然后将含有苯乙烯(S)、甲基丙烯酸甲酯(MMA)和甲基丙烯酸缩水甘油酯(GMA)、P(S-r-MMA-r-GMA)的无规共聚物和热产酸剂的PGMEA溶液流延在氧化的SiARC叠层上,在215℃烘烤2分钟,用PGMEA冲洗以去除任何未交联的P(S-r-MMA-r-GMA),形成中性垫层(中性UL)。中性UL对于SA材料,以下使用的聚(苯乙烯)-b-聚(甲基丙烯酸甲酯)嵌段共聚物(PS-b-PMMA),是中性润湿的。P(S-r-MMA-r-GMA)被称为“中和材料”。然后将60nm厚的193i光致抗蚀剂(“7210”,JSR ArFAIM7210JN-8)层涂布在中性UL的顶表面上。用193nm干涉光蚀刻对抗蚀剂层进行图案式曝光,并用有机显影剂(2-庚酮)负色调显影(去除未曝光区域),以产生具有168nm、196nm和224nm的间距的光栅预制图案,对于具有28nm间距的嵌段共聚物PS-b-PMMA,分别允许自组装层状域的6X、7X和8X放大。
然后通过一系列反应性离子蚀刻(5秒O2RIE,10秒CF4/H2RIE和10秒O2RIE)将抗蚀剂图案转印到垫层基材中,在沟槽的底部产生氧化的ODL层和经蚀刻的抗蚀剂作为台面(mesa)。通过将抗蚀剂图案曝光于来自Hg/Xe 500W短弧灯(UXM-501MA-Ushio America)的宽带深紫外(DUV)光,总整片曝光剂量为60mJ/cm2,然后在95℃下硬烘烤60秒,在200℃下硬烘烤180秒,进行极性转换/硬化处理(polarity switch/hardening process)。将抗蚀剂硬化后,将含有聚(苯乙烯-共-环氧二环戊二烯甲基丙烯酸酯)无规共聚物P(S-r-EDCPMA)、非中性润湿回填材料和热产酸剂的PGMEA溶液流延在经硬化的预制图案上,并在200℃烘烤2分钟。调整回填材料的浓度和旋转速率以避免将沟槽填充至抗蚀剂特征(即,回填材料的顶表面低于抗蚀剂特征和中性UL的底部界面)。然后将经回填的预制图案与标准水性碱性显影剂0.26N四甲基氢氧化铵(TMAH)接触30秒,然后去离子水(DI水)冲洗以去除经硬化的抗蚀剂图案。所得到的混杂预制图案包括交替的凹陷表面,其包括非中性润湿的回填材料和中性润湿的抬升表面。侧壁具有为约0.5Lo的高度HN。侧壁对于自组装SA材料的一个域具有优先亲和力。在该实施例中,剥离抗蚀剂基本上不改变中性UL表面(抬升表面)的中性润湿性能。
然后将SA材料(AZ Electronic PME 120,聚(苯乙烯)-b-聚(甲基丙烯酸甲酯)嵌段共聚物(PS-b-PMMA))的PGMEA溶液以1500rpm旋涂在经回填的预制图案上,将样品在255℃退火5分钟以形成第一DSA结构。在进行单次DSA处理后,通过在第一DSA结构上流延PGMEA30秒进行溶剂冲洗,并旋转干燥样品以去除任何溶剂和全部或基本上全部的DSA结构。然后以1500rpm进行AZ PME 120的另一旋涂,并将样品在255℃下退火10分钟以产生具有比第一DSA结构的缺陷少的缺陷的第二DSA结构。应用短的O2RIE以部分去除DSA结构的PMMA域,并增加用于扫描电子显微镜(SEM)表征目的的PMMA和PS域之间的对比度。
图8示出了在具有WE和WR的不同几何组合的各种混杂预制图案上的自组装嵌段共聚物。WE是在SiARC板上包括中性层的抬升特征的宽度,WR是在沟槽中包括回填材料P(S-r-EDCPMA)的凹陷表面的宽度。SA材料的自组装在抬升表面上形成28nm间距线垂直取向的层状线图案,其为中性润湿的并具有高蚀刻抗性的下层材料。PS线(薄片)可以转印到无机SiARC板上以形成用于定制DSA阵列的复合掩模。在该实施例中,WE+WR=6Lo、7Lo或8Lo(整数倍Lo)。如图8中所示,在具有WE和WR的各种组合的混杂预制图案上获得良好的DSA。当WE具有不同于0.5Lo或1.5Lo的值时(例如,当WE为约2Lo,3Lo,4Lo或5Lo)时,也获得了混杂预制图案上的良好的DSA,这是基于常规化学取向生长没有预期到的。
实施例2.在通过回填方法制备的混杂预制图案上的DSA的WE处理窗口的确定。实施例1的一般层图适用于实施例2。
用50nm厚的有机硬掩模(Shinetsu ODL-401)和10nm厚的SiARC(Shinetsu SHB A-940L10)涂布硅晶片基材,以形成混杂预制图案的构建叠层。用O2RIE处理叠层50秒以氧化SiARC表面。然后将带有热产酸剂的P(S-r-MMA-r-GMA)在氧化的SiARC叠层上流延,在215℃下烘烤2分钟,并用PGMEA漂洗以去除任何非交联材料以形成中性UL。然后将60nm厚的193i光致抗蚀剂(JSR ArF AIM7210JN-8)涂布在中性UL的顶部上,用电子束光蚀刻(EBL)进行图案式曝光,并用有机显影剂(2-庚酮)负色调显影,以产生允许具有28nm间距的自组装嵌段共聚物的6倍放大的光栅预制图案。预制图案由许多尺寸为8.5微米×5.8微米的模具组成。每个模具的间距保持恒定,同时沟槽宽度系统地从41nm到118nm变化,步长为2nm至3nm。
然后通过一系列RIE蚀刻(5秒O2RIE,11秒CF4RIE和10秒O2RIE)将EBL测试图案转印到基材中。通过将经蚀刻的预制图案曝光于来自Hg/Xe 500W短弧灯(UXM-501MA-UshioAmerica)的宽带DUV光,总整片曝光剂量为60mJ/cm2,然后在95℃下硬烘烤60秒,在200°下硬烘烤180秒,进行极性转换/硬化处理。将抗蚀剂硬化后,将具有热产酸剂的非中性回填材料P(S-r-EDCPMA)流延在经硬化的预制图案上,并在200℃下烘烤3分钟。调整回填材料的浓度和旋转速率以避免将沟槽填充至抗蚀剂特征(即,回填材料的顶表面低于中性UL的底表面)。在这种情况下,以4000rpm旋转流延0.2%的溶液。然后将经回填的预制图案与标准水性碱性显影剂(0.26N TMAH)接触60秒,然后DI水冲洗以去除经硬化的抗蚀剂图案。所得到的混杂预制图案含有在中性UL/SiARC板上中性润湿的抬升表面,以及设置在沟槽中的含有回填材料的非中性凹陷条纹。这两个区域之间的侧壁高度差HN为0.5Lo。然后将SA材料(嵌段共聚物溶液AZ PME 120)以1500rpm旋涂在混杂预制图案上,并将样品在255℃退火5分钟以形成第一DSA结构。在进行单次DSA处理后,通过在第一DSA结构上流延PGMEA 30秒进行溶剂冲洗,并旋转干燥样品以去除任何溶剂和全部或基本上全部的DSA结构。然后以1500rpm进行AZ PME 120的另一旋涂,并将样品在255℃下退火10分钟以产生具有比第一DSA结构的缺陷少的缺陷的第二DSA结构。然后使用O2RIE蚀刻DSA结构,使得可以看到嵌段共聚物域和下层图案。通过进行仔细测量的CF4RIE,然后进行O2RIE,可以将图案转印到叠层中。使用SEM由上向下成像样品。
图9是一系列SEM,其示出了当WE被设置为Lo的不同整数倍时,用于良好DSA的抬升表面的宽度WE变化量。在本系列中,WE+WR=6Lo。当WE为约2Lo(56nm)时,当WE在约53nm至61nm的范围内时,在混杂预制图案上获得良好的DSA。当WE为约3Lo(84nm)时,当WE在约82nm至90nm的范围内时,获得良好的DSA。当WE为约4Lo(112nm)时,当WE在107nm至117nm的范围内时,获得良好的DSA。这些范围(8-10nm)代表了WE的合理工艺窗口。
实施例3.DSA和DSA阵列在混杂预制图案上的定制(基本过程)。为了清楚起见,提供了以下层图。
图10A是一系列横截面层图,示出了用以从图案化的抗蚀剂/叠层制备两个混杂预制图案的实施例3的基本工艺流程,其中步骤编号在图下的方框中。两个混杂预制图案的相应SEM图像显示在图10B中,标记为“宽”和“窄”,其中在方框中标记图10A的相应步骤编号。混杂预制图案上的DSA线图案在图10C中示出,标记为“宽”和“窄”,图10A中的步骤编号标记在方框中。定制图案在图10D中示出,标记为“宽”和“窄”,图10A中的步骤编号标记在方框中。过程如下。
在10nm SiNx层("SiNx")顶部上制备具有9nm硅抗反射层(“SiARC”,Shinetsu SHBA-940L9)和35nm碳硬掩模层(“HM8500”,JSR HM8500)的叠层,通过化学气相沉积(CVD)方法在硅基材上沉积30nm无定形碳层("a-C")。用O2RIE处理叠层45秒以将SiARC表面氧化。然后将带有热产酸剂的P(S-r-MMA-r-GMA)在氧化的SiARC叠层上流延,在215℃下烘烤2分钟,并用PGMEA漂洗以去除任何非交联材料,从而形成中性UL。然后将60nm厚的193i光致抗蚀剂(JSR ArF AIM7210JN-8)涂布在中性UL(在图10A中标记为“N3”)叠层的顶部,用193nm干涉光蚀刻进行图案式曝光,并用有机显影剂(2-庚酮)负色调显影,以产生具有100nm间距的光栅预制图案,允许对具有25nm间距的嵌段共聚物进行4X放大(图10A,步骤1)。
然后通过一系列RIE蚀刻(4秒O2RIE,9秒CF4/H2RIE和10秒O2RIE)将抗蚀剂预制图案转印到中性UL/SiARC/HM8500层中,在沟槽的底部产生经氧化的HM8500层,并产生经蚀刻的抗蚀剂作为台面(图10A,步骤2)。然后将经处理的基材与标准水性碱性显影剂(0.26NTMAH)接触30秒,然后用去离子(DI)水冲洗以去除经硬化的抗蚀剂,以在中性UL/SiARC板上形成包含中性抬升表面的混杂预制图案,在沟槽中形成包含经氧化的HM8500材料的凹陷条纹(图10A,步骤3)。侧壁具有为约0.5Lo的高度HN。在该实施例中,剥离抗蚀剂对抬升表面的中性润湿性能没有不利影响。
标记为"3")的图10B的相应SEM图像示出了具有与凹陷条纹(经氧化的HM8500)相邻的抬升表面的不同宽度WE的混杂预制图案的形貌。较宽的条纹是图10B宽和图10B窄中的抬升表面。
以1500rpm将SA材料(来自Polymer Source的PS-b-PMMA嵌段共聚物,每个嵌段的Mn为22k-22k,25nm间距)在PGMEA中的2wt%溶液(基于溶液的总重量)旋涂在混杂预制图案上30秒,并在255℃下退火5分钟以形成第一定向自组装结构。在进行单次DSA处理后,通过在第一DSA结构上流延PGMEA 30秒进行溶剂冲洗,并旋转干燥样品以去除任何溶剂和全部或基本上全部的DSA结构。然后以1500rpm进行PS-b-PMMA嵌段共聚物在PGMEA中的溶液的另一旋涂,并将样品在255℃下退火5分钟以产生具有比第一DSA结构的缺陷少的缺陷的第二DSA结构(图10A,步骤4)。对于标记为宽和窄的两个不同的WE宽度重复该过程。
去除PMMA域以显示PS线(图10C,SEM图像)。图10C宽显示在每个较宽的抬升中性条纹上的3条PS线。图10C窄显示在每个较窄的抬升中性条纹上的2条PS线。
DSA阵列的定制。将SiARC上的对准的PS线用作蚀刻掩模,用于随后蚀刻SiARC层以形成图10A的结构,步骤5。相应的SEM图像显示了经蚀刻的SiARC/HM8500图案,其分别具有三条SiARC/HM8500线(图10D宽)或两条SiARC/HM8500线(图10D窄)。
尽管未示出,但在O2RIE气体下SiARC对HM8500的蚀刻选择性允许所得经蚀刻的SiARC图案用作HM8500层的蚀刻掩模。取决于抬升表面的宽度,可以实现在HM8500层中切割的一条或两条线。
实施例4.通过表面改性方法制备的混杂预制图案上的DSA。
用50nm厚的有机硬掩模(Shinetsu ODL-401)和10nm厚的SiARC(Shinetsu SHB A-940L10)涂布硅基材,以形成预制图案的构建叠层。用O2RIE处理叠层45秒以氧化SiARC表面。然后将带有热产酸剂的中和材料P(S-r-MMA-r-GMA)在氧化的SiARC叠层上流延,在215℃下烘烤2分钟,并用PGMEA漂洗以去除任何非交联材料,从而形成中性UL。然后将60nm厚的193i光致抗蚀剂(JSR ArF AIM7210JN-8)涂布在中性层的顶部上,使用193nm干涉光蚀刻进行图案式曝光,并用有机显影剂(2-庚酮)负色调显影,以产生允许对具有28nm间距的嵌段共聚物8X放大(WE+WR=8Lo,Lo=28nm)的具有224nm的间距的光栅预制图案。然后通过一系列RIE蚀刻(5秒O2RIE,7秒CHF3RIE和10秒O2RIE)将抗蚀剂预制图案转印到中性层和ODL层中,在沟槽的底部产生经氧化的ODL层(有机硬掩模),并在台面产生经蚀刻的抗蚀剂。将预制图案与标准水性碱性显影剂(0.26N TMAH)接触30秒,然后用DI水冲洗和在QZ 3501(Fujifilm)中冲洗。然后将非中性表面改性材料(AZ电子材料NLD 226,聚(苯乙烯)刷)的溶液以1500rpm在预制图案上旋转流延,并在110℃下烘烤5分钟,然后用乙酸正丁酯冲洗以去除任何非接枝性AZ NLD 226材料。抬升表面在表面改性后为中性润湿的。凹陷表面为非中性润湿的。抬升表面和凹陷表面被高度HN=0.5Lo分开。通过以1500rpm在预制图案上旋涂AZPME 120嵌段共聚物溶液并将样品在255℃退火5分钟形成DSA结构。应用短的O2RIE以部分去除DSA结构的PMMA域,并增加PMMA和PS域之间的对比度用于SEM表征。
图11A-11C(SEM)显示了在经表面改性的混杂预制图案上实现了良好的DSA。当(SiARC板上的抬升中性条纹的宽度)时,在抬升条纹上对准5条PS线,并在的经表面改性的沟槽的凹陷条纹上对准3条PS线,图11A。当时,6条PS线在抬升条纹上对准,2条PS线在凹陷条纹上对准(图11B)。当时,4条PS线在抬升条纹上对准,4条PS线在凹陷条纹上对准(图11C)。
实施例5.DSA和在使用直径为300mm的晶片和表面改性方法制备的混杂预制图案上的图案转印。
光蚀刻和叠层。制备了叠层,其具有通过原子层沉积(ALD)在硅基材上的50nm碳硬掩模层("ODL",Shinetsu ODL-410)上形成的5nm氧化硅层("SiOx")。用非中性材料(AZ NLD201)涂布ALD氧化物层,在250℃下烘烤2分钟,用PGMEA冲洗以去除任何非交联材料,从而在ALD氧化物层上形成中性UL。选择这种高PS非中性UL(PS),以便在制备混杂预制图案的过程之后在ALD氧化物上提供中性表面。然后将60nm厚的193i光致抗蚀剂(ShinetusX206)涂布在中性层的顶部,用193i步进器进行图案式曝光,并用乙酸正丁酯负色调显影,以产生光栅预制图案("X206"),其具有110nm的间距,允许对具有27.5nm间距的嵌段共聚物进行4X放大(图12,具有编号为步骤1的工艺步骤的SEM)。图案化的抗蚀剂被修整,得到较窄的抗蚀剂线(图12,步骤2)。
然后使用O2RIE将抗蚀剂图案转印到非中性UL(NLD 201层)中。然后将经处理的基材与标准水性碱性显影剂(0.26N TMAH)接触30秒,然后用DI水冲洗以去除抗蚀剂(图12步骤3)。使用图案化的NLD 201作为用于使用COR工艺(气相HF蚀刻)蚀刻ALD氧化物的掩模(图12步骤4)。然后将表面改性材料(AZ电子材料NLD 226,刷聚合物)的溶液以1500rpm在预制图案上旋转流延,并在150℃下烘烤2分钟,然后用乙酸正丁酯漂洗以去除任何非-接枝的AZNLD 226材料(图12,步骤5)以形成经表面改性的混杂图案。抬升表面(所有制造步骤之后的NLD 201层)和凹陷表面(在最终制造步骤中用NLD 226改性,刷聚合物)被约0.2Lo的高度HN分开。经改性的抬升表面对于SA材料是中性润湿的。经改性凹陷表面和侧壁对于SA材料是非中性润湿的。
将用于自组装的PS-b-PMMA嵌段共聚物(AZ PME 751,27.5nm间距)的PGMEA溶液以1500rpm旋转涂布在经表面改性的混杂图案上30秒,并在250℃下在氮气中退火5分钟,以形成DSA结构。获得清洁4X倍频。在该实施例中,使用了一种嵌段共聚物的应用。通过RIE步骤去除PMMA,留下良好对准的PS线。在一组4条PS线中,一条PS线在抬升条纹(具有中性表面的ALD氧化物条纹)上。其他3条PS线在沟槽中的经表面改性的ODL条纹上对准(图12步骤6)。在至ALD氧化物层和ODL层的后续图案转印期间,将ALD氧化物条纹顶部的PS线用作蚀刻掩模。其中从原始4条线中去除3条线的定制阵列在图12步骤7中示出。剩余的线是氧化物/ODL线。
实施例6A-6B。使用DSA对通过图案化的抗蚀剂的表面改性制备的混杂预制图案进行二维(2D)定制。
2D定制的目标是调制的线切割目标(实施例6A,图13A)和“IBM”目标(实施例6B,图13B)。设计了一个关键的预制图案,以生成具有25纳米间距的线的目标图案。在关键图案区域外,使用75nm间距(3X放大)的光栅作为填充。这些实验使用具有1微米SiO2作为顶层("SiO2")的硅基材(“Si晶片”)。在这些基材上形成35nm厚的有机硬掩模(HM8500)层(“HM8500”)。在HM8500层的顶部,涂布含有P(S-r-EDCPMA)的溶液,并在200℃下烘烤3分钟以形成非中性层。非中性层为凹陷区域中的PMMA域提供优先润湿的表面。在该步骤之后,涂布6nm HSQ抗蚀剂(“HSQ”),用电子束光蚀刻(EBL)曝光,并在非曝光区域用标准水性碱性显影剂(0.26N TMAH)显影。图13A-抗蚀剂和图13B-抗蚀剂是曝光和显影后的HSQ图案(切割掩模)的SEM图像。HSQ抗蚀剂对于SA材料是非中性润湿的。
通过用中性刷对HSQ抗蚀剂图案进行表面改性以产生具有中性润湿表面的抬升HSQ特征来制备混杂预制图案,如下所述。将中和材料P(S-r-MMA)刷(在PGMEA中,0.7%)以2000rpm涂布30秒,并在185℃下烘烤20分钟,然后进行PGMEA冲洗。抬升表面(HSQ上的中性刷)和凹陷表面(非中性,含有P(S-r-EDCPMA))被HN=0.6Lo的高度分开。凹陷表面可含有中和材料,但其不足以不利影响自组装。抬升表面对于SA材料是中性的。凹陷表面和侧壁对于SA材料是非中性的。
然后将分别含有90/10重量的PS-b-PMMA嵌段共聚物(22k-22k, 间距)和PMMA(2.5k)的共混物的2重量%溶液以3000rpm旋涂在叠层上30秒,并在外界环境下在260℃下退火5分钟,以在混杂预制图案上形成DSA图案。使用嵌段共聚物的一种应用。然后使用O2RIE蚀刻DSA结构的PMMA域,使得可以看到嵌段共聚物域和下层图案两者。图13A-DSA和图13B-DSA示出了覆盖混杂预制图案的凹陷表面和抬升表面的良好对准的PS光栅。然后将PS线用作蚀刻掩模,通过进行仔细定时的CF4RIE,然后进行O2RIE来对HSQ层和HM8500层进行图案化。图13A-定制和图13B-定制示出定制的DSA阵列的SEM图像作为目标图案。
实施例6C.使用DSA对通过回填方法制备的混杂预制图案进行二维定制。
在图13C-目标中示出了从与3线间隙交替的3行的组合出来的具有长的1行渐变的目标图案。该图案设计用于定制28nm间距DSA阵列,并在大小约8.5微米×5.8微米的面积上重复。通过回填方法制备混杂预制图案。用50nm厚的有机硬掩模(“ODL”,Shinetsu ODL401)和10nm厚的SiARC(Shinetsu SHB A-940L10,"SiARC")涂布硅基材(“Si晶片”),以形成混杂预制图案的构建叠层。用O2RIE处理叠层50秒以氧化SiARC表面。然后将带有热产酸剂的中和材料P(S-r-MMA-r-GMA)在氧化的SiARC叠层上流延,在215℃下烘烤2分钟,并用PGMEA漂洗以去除任何非交联材料,从而形成中性UL。然后将60nm厚的193i光致抗蚀剂(JSRArF AIM7210JN-8)涂布在中性UL的顶部,用电子束光蚀刻(EBL)图案式曝光,并用有机显影剂(2-庚酮)负色调显影。图13C-抗蚀剂显示AIM7210抗蚀图案(“7210”)。
然后通过一系列RIE蚀刻(5秒O2RIE,11秒CF4RIE和10秒O2RIE)将抗蚀剂图案转印到基材中。通过将经蚀刻的预制图案曝光于来自Hg/Xe 500W短弧灯(UXM-501MA-UshioAmerica)的宽带DUV光,总整片曝光剂量为60mJ/cm2,然后在95℃下硬烘烤60秒,在200°下硬烘烤180秒,进行极性转换/硬化处理。将抗蚀剂硬化后,将具有热产酸剂的非中性回填材料P(S-r-EDCPMA)流延在经硬化的抗蚀剂图案上,并在200℃下烘烤3分钟。调整回填材料的浓度和旋转速率以避免将沟槽填充至抗蚀剂特征(即,回填材料的顶表面低于抗蚀剂特征和中性层的底部界面)。在这种情况下,以4000rpm旋转流延0.2%的溶液。然后将经回填的抗蚀剂图案与标准水性碱性显影剂(0.26N TMAH)接触60秒,然后DI水冲洗以去除经硬化的抗蚀剂图案并形成中性抬升表面。抬升表面(中和材料)和凹陷表面(回填材料)被高度HN=0.5Lo分离。抬升表面对于SA材料是中性的。凹陷表面和侧壁对于SA材料是非中性的。
然后将用于自组装的PS-b-PMMA嵌段共聚物溶液(AZ PME 120,28nm间距)以1500rpm旋涂在经回填的预制图案上,并将样品在255℃下退火5分钟,形成第一DSA结构。在进行单次DSA处理后,通过在第一DSA结构上流延PGMEA 30秒进行溶剂冲洗,并旋转干燥样品以去除任何溶剂和全部或基本上全部的DSA结构。然后以1500rpm进行AZ PME 120的另一旋涂,并将样品在255℃下退火10分钟以产生具有比第一DSA结构的缺陷少的缺陷的第二DSA结构。然后蚀刻DSA结构以使用O2RIE去除PMMA域,得到PS线图案(图13C-DSA,SEM)。然后将PS线用作蚀刻掩模,以通过进行仔细定时的CF4RIE,然后进行O2RIE来对SiARC层和HM8500进行图案化。图13C-定制显示定制的DSA阵列的SEM图像作为目标图案。
结论
这些实施例表明,当中性表面经受涉及在中性表面上形成抗蚀剂图案、将抗蚀剂图案转印到下层并从中性表面剥离抗蚀剂图案的过程时,中性表面可以对于选定的SA材料保持其中性润湿性能。
这些实施例进一步证明,对于给定的SA材料,可以去除抗蚀剂图案而不会不利地影响凹陷表面和侧壁的期望的表面性质。
这些实施例还证明,形貌图案的凹陷表面可经历表面改性和/或回填,以对于给定的SA材料具有非中性表面性质,而不会不利地影响抬升表面的中性表面性质。
这些实施例另外证明,形貌图案的抬升表面可经历表面改性,以对于给定的SA材料具有中性表面性质,而不会不利地影响侧壁的非中性表面性质。
这些实施例还证明,中性和非中性表面改性材料可以在形成图案化的抗蚀剂特征之前或之后施加。优选地,在施加抗蚀剂之前施加一个,在施加抗蚀剂之后施加另一个。
使用上述技术,制备用于嵌段共聚物自组装的混杂预制图案,其包含具有高蚀刻抗性的下层材料的中性抬升表面和具有较低蚀刻抗性的下层材料的凹陷表面。通过使用上述准则适当地设定预制图案的尺寸,在抬升表面上形成单向垂直取向的薄片,并且在某些情况下,在凹陷表面上形成单向垂直取向的薄片。将域图案转印到下层,得到下层中的复杂的定制图案。
本文所用的术语仅为了描述特定的实施方案的目的,不旨在限制本发明。如本文所用,单数形式冠词"a"、"an"和"the"旨在同样包括复数形式,除非上下文明确相反指出。还应了解,当在本说明书中使用时,术语“包括”指定所述特征、整数、步骤、操作、元件和/或组件的存在,但不排除一种或多种其他特征、整数、步骤、操作、元件、组件和/或其组合的存在或添加。当使用两个数值极限X和Y(例如,X ppm至Y ppm的浓度)使用范围来表示可能值时,除非另有说明,否则值可以是X、Y或X和Y之间的任何数字。
已给出了本发明的描述用于说明和描述的目的,但并不旨在穷举或限于所公开形式的本发明。在不脱离本发明的范围的情况下,本发明的许多修改和变化对于本领域普通技术人员而言是显而易见的。选择和描述实施方案以便最好地解释本发明的原理及其实际应用,并且使得本领域的其他普通技术人员能够理解本发明。

Claims (34)

1.一种方法,所述方法包括:
i)在混杂预制图案的顶表面上形成包含用于自组装的材料(SA材料)的层(SA层),并且任选地形成设置在SA层的顶表面上的顶涂层,其中:
SA材料能够自组装以形成具有特征间距Lo的相分离的层状域图案,
预制图案设置在基材上,
预制图案的顶表面具有几何主轴,
预制图案的顶表面包括:a)散布有相邻凹陷表面的独立的抬升表面和b)将抬升表面连接到凹陷表面的侧壁,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
给定的抬升表面具有宽度WE,所述宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
给定的凹陷表面具有宽度WR,所述宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
对于每个相邻的凹陷表面和抬升表面对,WR+WE是具有约nLo(n乘Lo)的值的独立和,其中n是2至约30的整数,
至少一个凹陷表面的WR大于约2Lo,
至少一个抬升表面的WE大于约2Lo,
每个侧壁具有约0.1Lo至约2Lo的独立高度HN
SA层接触预制图案的抬升表面、凹陷表面和侧壁,以及
SA层具有与大气或顶涂层接触的顶表面;
ii)允许或诱导SA材料自组装,由此形成包括层状域图案的自组装SA层,所述域图案包括包含SA材料的各化学上不同的组分的交替域,每个域包括多个薄片,其中:
抬升表面对于域是中性润湿的,
每个抬升表面接触每个域的至少一个薄片,
与预制图案的给定的抬升表面接触的每个薄片a)垂直于给定的抬升表面取向,b)与给定的抬升表面上方的大气界面和/或顶涂层接触,以及c)沿着预制图案的主轴对准,
iii)使用蚀刻方法选择性地去除一个域,从而形成包括剩余域的薄片的经蚀刻的域图案;和
iv)使用第二蚀刻方法选择性地将经蚀刻的域图案转印到在抬升表面下方的具有更大的抗蚀刻性的材料中,从而形成包括包含具有更大的抗蚀刻性的材料的形貌特征的转印图案。
2.根据权利要求1所述的方法,其中所述方法包括使用第三蚀刻方法选择性地将转印图案转印到基材的一个或多个下层中,由此形成第二转印图案。
3.根据权利要求1或权利要求2所述的方法,其中侧壁接触自组装SA层的一个域。
4.根据权利要求1或权利要求2所述的方法,其中凹陷表面接触自组装SA层的一个域。
5.根据权利要求1或权利要求2所述的方法,其中侧壁对于自组装SA层的域是非中性润湿的。
6.根据权利要求1或权利要求2所述的方法,其中侧壁和凹陷表面对于自组装SA层的域是非中性润湿的。
7.根据任一前述权利要求所述的方法,其中位于预制图案的相应下层凹陷表面之上的自组装SA层的区域包括垂直于相应下层凹陷表面取向的薄片。
8.根据任一前述权利要求所述的方法,其中经蚀刻的域图案是具有约Lo的间距的单向线-空间图案。
9.根据任一前述权利要求所述的方法,其中SA材料包含嵌段共聚物。
10.根据任一前述权利要求所述的方法,其中嵌段共聚物是聚(苯乙烯-嵌段-甲基丙烯酸甲酯)嵌段共聚物。
11.根据权利要求1所述的方法,其中预制图案的顶表面包括包含具有不同WR值的凹陷表面的两个或更多个区域。
12.根据权利要求1所述的方法,其中预制图案的顶表面包括包含具有不同WR值的抬升表面的两个或更多个区域。
13.根据权利要求1所述的方法,其中预制图案的顶表面包括对于给定的相邻抬升表面和凹陷表面对具有不同WE+WR值的两个或更多个区域。
14.根据权利要求12所述的方法,其中该两个或更多个区域沿着预制图案的主轴。
15.根据权利要求1所述的方法,其中,在抬升表面下方的材料包括无机材料。
16.根据任一前述权利要求所述的方法,其中,在抬升表面下方的材料包括抗蚀剂。
17.根据权利要求16所述的方法,其中抗蚀剂是倍半硅氧烷。
18.根据任一前述权利要求所述的方法,其中SA层的顶表面接触大气。
19.根据权利要求1至17中任一项所述的方法,其中SA层的顶表面接触顶涂层。
20.根据权利要求1所述的方法,其中SA层的自组装形成设置在SA层上的顶涂层。
21.根据权利要求1所述的方法,其中HN为约0.2Lo至约2Lo。
22.一种方法,所述方法包括:
i)在混杂预制图案的顶表面上形成包含适用于自组装的材料(SA材料)的层(SA层),并且任选地形成设置在SA层上的顶涂层,其中:
SA材料能够自组装以形成具有特征间距Lo的相分离的层状域图案,
预制图案设置在基材上,
预制图案的顶表面具有几何主轴,
预制图案的顶表面包括:a)散布有相邻凹陷表面的独立的抬升表面和b)将抬升表面连接到凹陷表面的侧壁,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
给定的抬升表面具有宽度WE,所述宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
给定的相邻凹陷表面具有宽度WR,所述宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
对于每个相邻的凹陷表面和抬升表面对,WR+WE是具有约nLo(n乘Lo)的值的独立和,其中n是2至约30的整数,
至少一个抬升表面的WE大于约2Lo,
至少一个凹陷表面的WR大于约2Lo,
每个侧壁独立地具有约0.1Lo至约2Lo的高度HN
SA层接触预制图案的抬升表面、凹陷表面和侧壁,以及
SA层具有与大气界面和/或顶涂层接触的顶表面;
ii)允许或诱导SA材料自组装,从而形成包括第一层状域图案的第一自组装SA层;
iii)选择性地去除第一自组装SA层,从而形成包括经改性顶表面的经改性预制图案,其中:
经改性顶表面具有几何主轴,
经改性顶表面包括:a)散布有相邻经改性凹陷表面的独立的经改性抬升表面和b)将经改性抬升表面连接到经改性凹陷表面的经改性侧壁,
iv)将SA材料的第二SA层设置在经改性顶表面上;
v)允许或诱导第二SA层自组装,由此形成第二自组装SA层,所述第二自组装SA层包括与第一层状域图案相比包含更少与自组装域相关的缺陷的第二层状域图案,其中:
经改性抬升表面对于第二层状域图案的域是中性润湿的,
每个经改性抬升表面接触第二层状域图案的每个域的至少一个薄片,以及
与经改性预制图案的给定的经改性抬升表面接触的每个薄片a)垂直于给定的经改性抬升表面取向,b)与给定的经改性抬升表面上方的大气界面或顶涂层接触,以及c)沿着经改性预制图案的主轴对准,
vi)使用蚀刻方法选择性地去除第二层状域图案中的一个域,从而形成包括剩余域的经蚀刻的域图案;和
vii)选择性地将经蚀刻的域图案转印到在经改性预制图案的抬升表面下方的具有更大的抗蚀刻性的材料中,从而形成转印图案。
23.一种分层结构,所述分层结构包括:
设置在基材上的混杂预制图案,所述预制图案包括具有几何主轴的顶表面;
其中
分层结构适用于通过设置在预制图案的顶表面上的给定的自组装层(给定的SA层)的定向自组装来形成半导体器件,其中给定的SA层具有与大气界面和/或顶涂层接触的顶表面,并且SA层包括能够形成具有特征间距Lo的相分离的层状域图案的给定的自组装材料(给定的SA材料),
预制图案的顶表面包括:a)散布有相邻凹陷表面的独立的抬升表面和b)将抬升表面连接到凹陷表面的侧壁,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
给定的抬升表面具有宽度WE,所述宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
给定的相邻凹陷表面具有宽度WR,所述宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
对于每个相邻的凹陷表面和抬升表面对,WR+WE是具有约Lo的整数倍的值的独立和,其中所述整数为2至约30,
至少一个凹陷表面的WR大于约2Lo,
至少一个凹陷表面的WE大于约2Lo,和
每个侧壁独立地具有约0.1Lo至约2Lo的高度HN,和
由给定的SA层形成的域图案包括包含给定的SA材料的各化学上不同的组分的交替层状域,每个域包括多个薄片,其中抬升表面对于自组装的给定的SA层的域是中性润湿的,每个抬升表面接触自组装的给定的SA层的每个域的至少一个薄片,与预制图案的给定的抬升表面接触的每个薄片a)垂直于给定的抬升表面取向,b)与给定的抬升表面上方的大气界面和/或顶涂层接触,以及c)沿着预制图案的主轴对准。
24.根据权利要求23所述的分层结构,其中基材包括作为基材的第一层(底层)的硅晶片或金属箔。
25.根据权利要求23所述的分层结构,其中,基材包括设置在底层上的一个或多个层,所述层包括选自氮化硅、碳膜、旋涂碳、氧化硅、金属氧化物、抗反射材料及其组合的材料。
26.一种形成混杂预制图案的方法,所述方法包括:
选择能够自组装的自组装材料(SA材料)以形成包括具有特征间距Lo的层状域的相分离的层状域图案;
形成设置在基材上的垫层;
形成设置在所述垫层上的形貌抗蚀剂图案,所述抗蚀剂图案包括由沟槽分隔的抗蚀剂特征,所述沟槽具有包含所述垫层的材料的底表面;
选择性地去除沟槽的底表面以下的材料,从而形成第二形貌图案,所述第二形貌图案包括沟槽,所述沟槽具有包含所述基材的材料的底表面;
从第二形貌图案去除抗蚀剂图案,从而形成混杂预制图案;
其中
混杂预制图案具有顶表面和在顶表面上的几何主轴,
预制图案的顶表面包括:a)散布有包含基材材料的相邻凹陷表面的包含垫层材料的独立的抬升表面和b)将抬升表面连接到凹陷表面的侧壁,
抬升表面对于域是中性润湿的,
凹陷表面和侧壁对于域是非中性润湿的,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
预制图案的抬升表面具有独立的宽度WE,所述独立的宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
预制图案的凹陷表面具有独立的宽度WR,所述独立的宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
给定的相邻抬升表面和凹陷表面对的WE+WR是具有约Lo的整数倍的值的独立和,其中所述整数为2至约30,
至少一个抬升表面的WE大于约2Lo,
至少一个凹陷表面的WR大于约2Lo,
侧壁具有约0.1Lo至约2Lo的高度HN,和
包含设置在预制图案的顶表面上的SA材料并具有与大气界面和/或顶涂层接触的顶表面的自组装层(SA层)能够自组装以形成层状域图案,所述域图案包括包含SA材料的各化学上不同的组分的交替域,每个域包括多个薄片,其中每个抬升表面接触每个域的至少一个薄片,与预制图案的给定的抬升表面接触的每个薄片a)垂直于给定的抬升表面取向,b)与给定的抬升表面上方的大气界面接触,以及c)沿着预制图案的主轴对准。
27.根据权利要求26所述的方法,其中垫层对于域是中性润湿的。
28.根据权利要求26所述的方法,其中垫层对于域是非中性润湿的。
29.根据权利要求26所述的方法,所述方法包括在所述去除抗蚀剂图案之前,使用回填材料回填第二形貌图案的沟槽至垫层的底表面以下的高度,其中回填材料对于自组装SA材料的域是非中性润湿的。
30.根据权利要求26所述的方法,所述方法包括在所述去除抗蚀剂图案之前,使用对于域是非中性润湿的的材料,对第二形貌图案进行表面改性。
31.根据权利要求26所述的方法,其中去除抗蚀剂图案形成第三形貌图案,并且所述方法包括用表面改性剂处理第三形貌图案,从而形成混杂预制图案,其中混杂预制图案的抬升表面和/或凹陷表面包括表面改性剂。
32.一种形成混杂预制图案的方法,所述方法包括:
选择能够自组装的自组装材料(SA材料)以形成包括具有特征间距Lo的层状域的相分离的层状域图案;
形成设置在基材上的垫层,其中垫层对于域是非中性润湿的;
形成设置在所述垫层上的形貌抗蚀剂图案,所述抗蚀剂图案包括由沟槽分隔的抗蚀剂特征,所述沟槽具有包含所述垫层的材料的底表面;
用对于域中性润湿的材料(中和材料)处理抗蚀剂特征的顶表面,从而形成混杂预制图案;
其中
预制图案具有顶表面和在顶表面上的几何主轴,
预制图案的顶表面包括:a)散布有包含垫层材料的相邻凹陷表面的包含抗蚀剂和中和材料的独立的抬升表面,b)将抬升表面连接到凹陷表面的侧壁,
抬升表面对于域是中性润湿的,
凹陷表面和侧壁对于域是非中性润湿的,
与在预制图案的凹陷表面下方的材料相比,在预制图案的抬升表面下方的材料在给定的蚀刻方法中具有更大的抗蚀刻性,
预制图案的抬升表面具有独立的宽度WE,所述独立的宽度WE被定义为在垂直于主轴的方向上给定的抬升表面的长度,
预制图案的凹陷表面具有独立的宽度WR,所述独立的宽度WR被定义为在垂直于主轴的方向上凹陷表面的长度,
给定的相邻抬升表面和凹陷表面对的WE+WR是具有约Lo的整数倍的值的独立和,其中所述整数为2至约30,
至少一个抬升表面的WE大于约2Lo,
至少一个凹陷表面的WR大于约2Lo,
侧壁具有约0.1Lo至约2Lo的高度HN
包含设置在预制图案的顶表面上的给定的SA材料并具有与大气界面接触的顶表面的自组装层(SA层)能够自组装以形成层状域图案,所述域图案包括包含SA材料的各化学上不同的组分的交替域,每个域包括多个薄片,其中每个抬升表面接触每个域的至少一个薄片,与预制图案的给定的抬升表面接触的每个薄片a)垂直于给定的抬升表面取向,b)与给定的抬升表面上方的大气界面接触,以及c)沿着预制图案的主轴对准。
33.根据权利要求32所述的方法,其中形貌抗蚀剂图案包括倍半硅氧烷抗蚀剂。
34.根据权利要求32或权利要求33所述的方法,其中预制图案的凹陷表面包括残余的中和材料。
CN201680009251.3A 2015-02-19 2016-02-08 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案 Active CN107210197B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/626,082 2015-02-19
US14/626,082 US9738765B2 (en) 2015-02-19 2015-02-19 Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
PCT/IB2016/050644 WO2016132248A1 (en) 2015-02-19 2016-02-08 Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers

Publications (2)

Publication Number Publication Date
CN107210197A true CN107210197A (zh) 2017-09-26
CN107210197B CN107210197B (zh) 2020-06-19

Family

ID=56688741

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680009251.3A Active CN107210197B (zh) 2015-02-19 2016-02-08 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案

Country Status (6)

Country Link
US (2) US9738765B2 (zh)
JP (1) JP6814151B2 (zh)
CN (1) CN107210197B (zh)
DE (1) DE112016000434T5 (zh)
GB (1) GB2547121B (zh)
WO (1) WO2016132248A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114015057A (zh) * 2021-10-27 2022-02-08 航天材料及工艺研究所 高铝含量、低氧含量的聚铝碳硅烷、制备方法及SiAlC陶瓷
CN114600044A (zh) * 2019-10-16 2022-06-07 阿科玛法国公司 定向自组装光刻方法
CN115298610A (zh) * 2019-12-31 2022-11-04 阿科玛法国公司 使基底纳米结构化的方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9738765B2 (en) 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
KR102329895B1 (ko) * 2015-04-06 2021-11-24 에스케이하이닉스 주식회사 패턴 형성 방법
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
KR102350587B1 (ko) * 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
EP3297030B1 (en) * 2016-09-15 2021-05-05 IMEC vzw Selective fin cut
US9632408B1 (en) * 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
FR3057991B1 (fr) * 2016-10-21 2019-06-21 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’un guide d’assemblage fonctionnalise
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10544330B2 (en) 2017-01-20 2020-01-28 Honeywell International Inc. Gap filling dielectric materials
CN108400085B (zh) * 2017-02-06 2019-11-19 联华电子股份有限公司 形成半导体元件图案的方法
US10734239B2 (en) * 2017-03-01 2020-08-04 Brewer Science, Inc. High-chi block copolymers with tunable glass transition temperatures for directed self-assembly
TWI782021B (zh) * 2017-05-28 2022-11-01 美商應用材料股份有限公司 有機及混合有機無機層的選擇性分子層沉積
US10157740B1 (en) * 2017-06-15 2018-12-18 Applied Materials, Inc. Selective deposition process utilizing polymer structure deactivation process
DE102017213330A1 (de) * 2017-08-02 2019-02-07 Dr. Johannes Heidenhain Gmbh Abtastplatte für eine optische Positionsmesseinrichtung
US10892161B2 (en) 2017-11-14 2021-01-12 Applied Materials, Inc. Enhanced selective deposition process
JP6458174B1 (ja) * 2018-01-12 2019-01-23 デクセリアルズ株式会社 パターン形成方法及び偏光板の製造方法
WO2019152078A1 (en) * 2018-02-01 2019-08-08 Brewer Science, Inc. Gradient block copolymers for directed self-assembly
US20220002575A1 (en) * 2018-12-07 2022-01-06 Merck Patent Gmbh Rapid cross-linkable neutral underlayers for contact hole self-assembly of polystyrene-b- poly(methyl methacrylate) diblock copolymers and their formulation thereof
US11307496B2 (en) 2019-11-19 2022-04-19 International Business Machines Corporation Metal brush layer for EUV patterning
JP7339134B2 (ja) * 2019-11-19 2023-09-05 株式会社Screenホールディングス パターン形成方法およびその方法を含んだ半導体の製造方法
TWI821875B (zh) * 2022-01-21 2023-11-11 力晶積成電子製造股份有限公司 半導體結構的製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101202213A (zh) * 2006-10-30 2008-06-18 国际商业机器公司 用于对准衬底上的嵌段共聚物的层状微畴的方法和结构
US20120138571A1 (en) * 2008-02-05 2012-06-07 International Business Machines Corporation Pattern formation employing self-assembled material
CN103839785A (zh) * 2012-11-27 2014-06-04 国际商业机器公司 形成构图结构的方法
WO2014120320A2 (en) * 2012-11-21 2014-08-07 International Business Machines Corporation Formation of a composite pattern including a periodic pattern self-aligned to a prepattern

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4012943B2 (ja) * 1998-03-27 2007-11-28 シャープ株式会社 有機薄膜パターンの製造方法
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
EP1763704A2 (en) 2004-06-30 2007-03-21 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US8133534B2 (en) 2004-11-22 2012-03-13 Wisconsin Alumni Research Foundation Methods and compositions for forming patterns with isolated or discrete features using block copolymer materials
KR100858223B1 (ko) 2007-05-21 2008-09-10 연세대학교 산학협력단 자가정렬된 반도체 나노와이어 박막 트랜지스터 및 그 제조 방법
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7790350B2 (en) 2007-07-30 2010-09-07 International Business Machines Corporation Method and materials for patterning a neutral surface
US7989026B2 (en) 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
KR20090083091A (ko) 2008-01-29 2009-08-03 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US8119017B2 (en) 2008-06-17 2012-02-21 Hitachi Global Storage Technologies Netherlands B.V. Method using block copolymers for making a master mold with high bit-aspect-ratio for nanoimprinting patterned magnetic recording disks
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8362179B2 (en) 2008-11-19 2013-01-29 Wisconsin Alumni Research Foundation Photopatternable imaging layers for controlling block copolymer microdomain orientation
KR101535227B1 (ko) 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
JP5222805B2 (ja) * 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
JP5484817B2 (ja) 2009-08-04 2014-05-07 株式会社東芝 パターン形成方法及び半導体装置の製造方法
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
US8623458B2 (en) * 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
NL2006639A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Self-assemblable polymer and method for use in lithography.
US8815105B2 (en) 2011-02-28 2014-08-26 HGST Netherlands B.V. Method using block copolymers for making a master mold for nanoimprinting patterned magnetic recording disks with chevron servo patterns
WO2013050338A1 (en) * 2011-10-03 2013-04-11 Asml Netherlands B.V. Method to provide a patterned orientation template for a self-assemblable polymer
GB2497964A (en) 2011-12-23 2013-07-03 Playsmart Internat Ltd Impact absorbing system for surfaces
NL2010004A (en) * 2012-01-13 2013-07-16 Asml Netherlands Bv Self-assemblable polymer and methods for use in lithography.
JP5752655B2 (ja) * 2012-09-10 2015-07-22 株式会社東芝 パターン形成方法
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9377683B2 (en) * 2013-03-22 2016-06-28 HGST Netherlands B.V. Imprint template with optically-detectable alignment marks and method for making using block copolymers
US10457088B2 (en) 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
US8900467B1 (en) * 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
JP2015023063A (ja) * 2013-07-16 2015-02-02 株式会社東芝 パターン形成方法及びマスクパターンデータ
KR20150014009A (ko) * 2013-07-25 2015-02-06 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US10642152B2 (en) * 2013-11-08 2020-05-05 Asml Netherlands B.V Methodology to generate a guiding template for directed self-assembly
US9773520B2 (en) 2014-01-17 2017-09-26 Seagate Technology Llc Imprint pattern guided self-assembly of lamellar block copolymer for BPM
US9557640B2 (en) * 2014-03-15 2017-01-31 Board Of Regents, University Of Texas System Ordering block copolymers
JP6262044B2 (ja) * 2014-03-20 2018-01-17 株式会社東芝 パターン形成方法および半導体装置の製造方法
US9336809B2 (en) * 2014-08-28 2016-05-10 HGST Netherlands B.V. Method for making an imprint template with data regions and non-data regions using block copolymers
US9556353B2 (en) * 2014-10-29 2017-01-31 International Business Machines Corporation Orientation control materials for block copolymers used in directed self-assembly applications
US9505945B2 (en) * 2014-10-30 2016-11-29 Az Electronic Materials (Luxembourg) S.A.R.L. Silicon containing block copolymers for direct self-assembly application
US9458531B2 (en) * 2014-11-04 2016-10-04 HGST Netherlands B.V. Method for directed self-assembly (DSA) of block copolymers using guiding line sidewalls
US9773649B2 (en) * 2014-11-17 2017-09-26 Lam Research Corporation Dry development and image transfer of si-containing self-assembled block copolymers
US9738765B2 (en) 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101202213A (zh) * 2006-10-30 2008-06-18 国际商业机器公司 用于对准衬底上的嵌段共聚物的层状微畴的方法和结构
US20120138571A1 (en) * 2008-02-05 2012-06-07 International Business Machines Corporation Pattern formation employing self-assembled material
WO2014120320A2 (en) * 2012-11-21 2014-08-07 International Business Machines Corporation Formation of a composite pattern including a periodic pattern self-aligned to a prepattern
CN103839785A (zh) * 2012-11-27 2014-06-04 国际商业机器公司 形成构图结构的方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114600044A (zh) * 2019-10-16 2022-06-07 阿科玛法国公司 定向自组装光刻方法
CN115298610A (zh) * 2019-12-31 2022-11-04 阿科玛法国公司 使基底纳米结构化的方法
CN114015057A (zh) * 2021-10-27 2022-02-08 航天材料及工艺研究所 高铝含量、低氧含量的聚铝碳硅烷、制备方法及SiAlC陶瓷

Also Published As

Publication number Publication date
US9738765B2 (en) 2017-08-22
GB2547121B (en) 2019-09-18
WO2016132248A1 (en) 2016-08-25
US20160244581A1 (en) 2016-08-25
JP6814151B2 (ja) 2021-01-13
GB2547121A (en) 2017-08-09
JP2018509759A (ja) 2018-04-05
DE112016000434T5 (de) 2017-10-05
GB201704200D0 (en) 2017-05-03
US20170321025A1 (en) 2017-11-09
CN107210197B (zh) 2020-06-19
US10059820B2 (en) 2018-08-28

Similar Documents

Publication Publication Date Title
CN107210197A (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
US9159558B2 (en) Methods of reducing defects in directed self-assembled structures
US10600680B2 (en) Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR102121081B1 (ko) 유도된 자기-조립을 위한 실리콘 하드마스크 층
US10538859B2 (en) Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US7906031B2 (en) Aligning polymer films
US8398868B2 (en) Directed self-assembly of block copolymers using segmented prepatterns
KR102394042B1 (ko) 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법
US8771929B2 (en) Tone inversion of self-assembled self-aligned structures
US9107291B2 (en) Formation of a composite pattern including a periodic pattern self-aligned to a prepattern
KR20170096195A (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
US9632408B1 (en) Graphoepitaxy directed self assembly
Takei et al. Silicon-containing spin-on underlayer material for step and flash nanoimprint lithography
US11518730B2 (en) Polymer compositions for self-assembly applications
US9235125B2 (en) Methods of providing patterned chemical epitaxy templates for self-assemblable block copolymers for use in device lithography

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant