JP2007281428A - デバイス製造方法およびコンピュータプログラム - Google Patents

デバイス製造方法およびコンピュータプログラム Download PDF

Info

Publication number
JP2007281428A
JP2007281428A JP2007026396A JP2007026396A JP2007281428A JP 2007281428 A JP2007281428 A JP 2007281428A JP 2007026396 A JP2007026396 A JP 2007026396A JP 2007026396 A JP2007026396 A JP 2007026396A JP 2007281428 A JP2007281428 A JP 2007281428A
Authority
JP
Japan
Prior art keywords
array
sacrificial
feature
mask
aperture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007026396A
Other languages
English (en)
Other versions
JP5204410B2 (ja
Inventor
Van Haren Richard Johannes Franciscus
ハレン,リチャード,ヨハネス,フランシスカス ヴァン
Maurits Van Der Schaar
デル シャール,マウリッツ ヴァン
Ewoud Vreugdenhil
ヴレウグデンヒル,エウォウド
Harry Sewell
スーエル,ハリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
ASML Netherlands BV
Original Assignee
ASML Holding NV
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/352,400 external-priority patent/US20070190762A1/en
Application filed by ASML Holding NV, ASML Netherlands BV filed Critical ASML Holding NV
Publication of JP2007281428A publication Critical patent/JP2007281428A/ja
Application granted granted Critical
Publication of JP5204410B2 publication Critical patent/JP5204410B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

【課題】従来のリソグラフィ技法を用いて可能であるものより高い密度で、アレイを形成すること。
【解決手段】たとえばコンタクトホールを形成する方法では、まず第1ハードマスク層12の上に最終間隔の2倍の間隔でスタッド13のアレイを形成する。次に第2犠牲ハードマスク14をコンフォーマルに堆積し、最終アレイ配置にするために上記のスタッド13の各グループ間の中央部に方向性エッチングによる穴を交互配置する。さらに上記のスタッド13を除去する。その結果最初のスタッド13の2倍の密度の穴のアレイが得られる。最後に第1ハードマスク層12はエッチングされたコンフォーマルコーティング14’を介してエッチングされ所望の密度でアパーチャのアレイを有することができる。また、この方法を繰り返して、さらに高い密度でアレイを作成することができる。
【選択図】 図4

Description

[0001] 関連出願
本願は、2006年2月13日に出願した同時係属の米国特許出願第11/352400号の一部継続出願であり、米国特許出願第11/352400号の内容全体が、参照によって本明細書に組み込まれている。
[0002] 本発明は、リソグラフィ装置を使用するデバイス製造方法およびコンピュータプログラムに関する。
[0003] リソグラフィ装置は、所望のパターンを基板に、通常は基板のターゲット部分に付ける機械である。リソグラフィ装置は、たとえば、集積回路(IC)の製造に使用することができる。その事例では、マスクまたはレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層に形成される回路パターンを生成することができる。このパターンを、基板(たとえば、シリコンウェーハ)上のターゲット部分(たとえば、ダイの一部、1つのダイ、または複数のダイを含む)に転写することができる。パターンの転写は、通常、基板上に設けられる放射感応性材料(レジスト)の層への結像を介する。一般に、単一の基板には、連続してパターニングされる隣接するターゲット部分のネットワークが含まれる。既知のリソグラフィ装置には、各ターゲット部分が一時にそのターゲット部分にパターン全体を露光することによって照射される、いわゆるステッパと、所与の方向(「スキャン」方向)の放射ビームを介してパターンをスキャンすると同時にこの方向に平行または非平行に基板を同期してスキャンすることによって各ターゲット部分が照射される、いわゆるスキャナとが含まれる。パターンを基板にインプリントすることによって、パターンをパターニングデバイスから基板に転写することも可能である。
[0004] 集積回路上のデバイスの密度を高めるために、ラインおよび他の特徴のピッチが、通常は減らされる。しかし、多くのリソグラフィ装置は、その分解能限度でまたは分解能限度付近で動作する。さまざまなプロセス技法が、リソグラフィ装置によって結像可能な最小サイズより小さい特徴を作成できるようにするために開発されてきた。たとえば、米国特許出願公報第2003/0203320号に、リソグラフィパターン内のギャップを縮小するためのコンフォーマル有機高分子薄膜(conformal organic polymeric film)の使用が開示されている。
[0005] もう1つの例として、レジスト内のラインの幅より狭いラインをエッチングするために、露光されるレジストを電子ビームによって処理し、残っているレジストを液化させるか可塑化させ流れさせて、露光されるラインを部分的に閉じることができる。次に、レジストにプリントされたラインより狭いラインを、下にある基板にエッチングすることができる。リソグラフィ装置によって結像可能な最小ピッチより狭いピッチでラインを露光するために、二重露光技法を使用することができ、この場合に、ラインの第1組が、所望のピッチの2倍で結像され、次に、第1組に対して相補的な第2組が、やはり所望のピッチの2倍であるが、所望のピッチと等しい位置オフセットを伴って結像される。米国特許第6589713号に、縮小された幅およびピッチの特徴をプリントするのにこれらの技法の両方を使用する方法が開示されている。
[0006] スペーサゲート構造を高密度でプリントするのに電子ビームを使用しない技法が、J.P.Long他のEdge Defined Lithography for Nano-scale III-N Field Effect Transistors”(http://www.gaasmantech.org/Digests/2005/index.htm, no 14.22で入手できるThe International Conference on Compound Semiconductor Manufacturing Technology 2005 On-line Digestで公表)で開示されている。この技法では、犠牲ポリシリコン層(sacrificial polysilicon layer)が従来のフォトリソグラフィ技法を使用してパターニングされて、メサが形成され、次いで、Siの薄い層がウェーハ全体にコンフォーマルに堆積される。次に、このSi層が、非常に異方性の反応性イオンエッチング(Reactive Ion Etching : RIE)プロセスを使用してエッチングされて、ポリシリコンメサの両側に薄いSi壁が残される。そして、メサが湿式KOHエッチングを使用して除去されて、Si壁だけが残され、このSi壁は、犠牲ポリシリコン層の下のSiO層およびポリシリコン層の後続エッチング中にマスクとして働く。最終結果は、犠牲ポリシリコン層内に形成されたメサの2倍の密度の薄いポリシリコンフィンである。
[0007] FinFETSのシリコンフィンを作るための、類似する技法が、Yang-Kyu Choi他の“A Spacer Patterning Technology for Nanoscale CMOS”(IEEE Transactions on Electron Devices, Vol 49,No 3,2002年3月で公表)で開示されている。
[0008] しかし、開示された技法は限られた応用分野を有し、時々ラインの端を不正確に結像する場合がある。
[0009] したがって、より高い密度でデバイス特徴を製造する改善された技法を提供することが望ましい。
[0010] 本発明の1態様によれば、リソグラフィ装置を使用するデバイス製造方法であって、
基板の第1層上に犠牲特徴アレイをプリントすることであって、犠牲特徴アレイが単位面積あたり第1特徴個数を有する、該プリントすること、
第1穴アレイを画定する第1マスクを形成するために、犠牲特徴アレイに実質的にコンフォーマルなコーティングを設けることであって、第1穴アレイが犠牲特徴アレイと交互配置され且つ単位面積あたり第1特徴個数を有する、該設けること、
単位面積あたり第1特徴個数の2倍の単位面積あたり第2特徴個数を有する第2穴アレイを第1穴アレイを用いて画定する、第1マスク内のさらなる穴を形成するために、犠牲特徴を除去すること、
第2穴アレイの選択された部分をカバーする第2マスクを設けること、および
第1層のうちで第1および第2マスクによってカバーされない部分を処理すること、
を含む方法が提供される。
[0011] 本発明の1態様によれば、リソグラフィ装置を使用するデバイス製造方法であって、
第1密度で第1突出特徴アレイを形成するために、リソグラフィ装置を使用して基板の第1犠牲ハードマスクをパターニングすること、
第1密度で凹み特徴アレイが形成されるように、第1アレイ上に第2犠牲ハードマスクを実質的にコンフォーマルに堆積することであって、凹み特徴が突出特徴間に散在する、該堆積すること、
突出特徴の末端面を露呈させるため、および凹み特徴が第2犠牲ハードマスクを介するアパーチャになるように、第2犠牲ハードマスクを方向性エッチングすること、
第2犠牲ハードマスク内にアパーチャを残すために、突出特徴を選択エッチングによって除去すること、および
第2犠牲ハードマスク内のアパーチャを介して基板の第1ハードマスクをエッチングすること、
を含む方法が提供される。
[0012] 本発明の1態様によれば、リソグラフィ装置を使用するデバイス製造方法であって、
第1ピッチで突出ラインアレイを形成するために、リソグラフィ装置を使用して基板の第1犠牲ハードマスクをパターニングすること、
突出ラインを埋め、埋められた突出ラインと交互配置される第2凹みラインアレイを形成するために、第2犠牲ハードマスクを実質的にコンフォーマルに堆積することと、
埋められた突出ラインの末端面を露呈させるため、および凹みラインが第2犠牲ハードマスクを通るアパーチャになるように、第2犠牲ハードマスクを方向性エッチングすること、
第2犠牲ハードマスク内にアパーチャを残すために埋められた突出ラインを選択エッチングによって除去すること、
少なくとも第2犠牲マスク内の1つまたは複数のアパーチャの端をマスクすること、および
第2犠牲ハードマスク内のアパーチャを介して基板をエッチングすること、
を含む方法が提供される。
[0013] 本発明の1態様によれば、
基板の第1層上に、単位面積あたり第1特徴個数で第1犠牲特徴アレイをプリントすること、
単位面積あたり第1特徴個数で第1アパーチャアレイを画定する第1マスクを形成するために、第1犠牲特徴アレイに実質的にコンフォーマルなコーティングを設けることであって、第1アパーチャアレイが犠牲特徴アレイと交互配置される、該設けること、
単位面積あたり第1特徴個数の2倍の単位面積あたり第2特徴個数で第2アパーチャアレイを第1アパーチャアレイを用いて画定する、第1マスク内のさらなるアパーチャを形成するために、第1犠牲特徴アレイを除去すること、
第2犠牲特徴アレイを形成するために、第2アパーチャアレイを充てんすること、
単位面積あたり第2特徴個数で第3アパーチャアレイを画定する第2マスクを形成するために、第2犠牲特徴アレイに実質的にコンフォーマルなコーティングを設けることであって、第3アパーチャアレイが第2犠牲特徴アレイと交互配置される、該設けること、および
単位面積あたり第2特徴個数の2倍の単位面積あたり第3特徴個数で第4アパーチャアレイを第3アパーチャアレイを用いて画定する、第2マスク内のさらなるアパーチャを形成するために、第2犠牲特徴アレイを除去すること、
を含む、デバイス製造方法が提供される。
[0014] 本発明の1態様によれば、
デバイス製造方法を実行するためにリソグラフィ装置を含むリソグラフィクラスタを制御するプログラムコードを含むコンピュータプログラムであって、
基板の第1層上に第1密度で犠牲特徴アレイをプリントすること、
第1密度で第1アパーチャアレイを画定する第1マスクを形成するために、犠牲特徴アレイに実質的にコンフォーマルなコーティングを設けることであって、第1アパーチャアレイが犠牲特徴アレイと交互配置される、該設けること、
第1密度の2倍の第2密度で第2アパーチャアレイを第1アパーチャアレイを用いて画定する、第1マスク内のさらなるアパーチャを形成するために、犠牲特徴を除去すること、および
第1層のうちで第1マスクによってカバーされない部分を処理すること、
を含むコンピュータプログラムが提供される。
[0015] 本発明の実施形態を、添付概略図を参照して、例としてのみ説明する。添付概略図では対応する符号が対応する部品を示す。
[0020] 図1に、本発明の1つの実施形態で使用できるリソグラフィ装置を概略的に示す。この装置には、
放射ビームB(たとえばUV放射またはDUV放射)を条件付けるように構成された照明システム(イルミネータ)IL、
パターニングデバイス(たとえばマスク)MAを支持するように構築され、ある種のパラメータに従ってパターニングデバイスを正確に位置決めするように構成された第1ポジショナPMに接続された、支持構造(たとえばマスクテーブル)MT、
基板(たとえばレジストコートウェーハ)Wを保持するように構築され、ある種のパラメータに従って基板を正確に位置決めするように構成された第2ポジショナPWに接続された、基板テーブル(たとえばウェーハテーブル)WT、および
パターニングデバイスMAによって放射ビームBに分け与えられるパターンを基板Wのターゲット部分C(たとえば1つまたは複数のダイを含む)に投影するように構成された投影システム(たとえば投影レンズシステム)PS、
が含まれる。
[0021] 照明システムには、放射を誘導、成形、または制御するために、屈折型、反射型、磁気型、電磁型、静電型、もしくは他のタイプの光学コンポーネント、またはこれらの任意の組合せなど、さまざまなタイプの光学コンポーネントを含めることができる。
[0022] 支持構造は、パターニングデバイスの方向、リソグラフィ装置の設計、および、たとえばパターニングデバイスが真空環境内に置かれるか否かなどの他の条件に依存する形でパターニングデバイスを保持する。支持構造は、機械式、真空、静電気、または他のクランプ技法を使用して、パターニングデバイスを保持することができる。支持構造は、たとえば必要に応じて固定式または可動式とすることができるフレームまたはテーブルとすることができる。支持構造は、パターニングデバイスが、たとえば投影システムに関して、所望の位置にあることを保証することができる。本明細書での用語「レチクル」または「マスク」のすべての使用は、より一般的な用語「パターニングデバイス」と同義と考えることができる。
[0023] 本明細書で使用される用語「パターニングデバイス」は、基板のターゲット部分にパターンを作成するためなど、放射ビームの横断面内にパターンを付与するのに使用できるすべてのデバイスを指すものとして広義に解釈されなければならない。放射ビームに付与されるパターンは、たとえばそのパターンが位相シフト特徴またはいわゆるアシスト特徴を含む場合に、基板のターゲット部分内の所望のパターンに正確には対応しない場合があることに留意されたい。一般に、放射ビームに付与されるパターンは、集積回路など、ターゲット部分に作成されるデバイス内の特定の機能層に対応する。
[0024] パターニングデバイスは、透過型または反射型とすることができる。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクは、リソグラフィでは周知であり、バイナリ、Alternating位相シフト、および減衰型位相シフトなどのマスクタイプならびにさまざまなハイブリッドマスクタイプを含む。プログラマブルミラーアレイの例は、小さいミラーのマトリックス配置を使用し、これらのミラーのそれぞれは、入ってくる放射ビームを異なる方向に反射するために個別に傾けることができる。傾けられたミラーは、ミラーマトリックスによって反射される放射ビーム内にパターンを付与する。
[0025] 本明細書で使用される用語「投影システム」は、使用される露光放射に関してまたは液浸液の使用もしくは真空の使用などの他の要因に関して適当な、屈折型、反射型、反射屈折型、磁気型、電磁型、および静電型の光学システムまたはこれらの組合せを含む任意のタイプの投影システムを含むものとして広義に解釈されなければならない。本明細書での用語「投影レンズ」のすべての使用は、より一般的な用語「投影システム」と同義と考えることができる。
[0026] 本明細書で示されるように、この装置は、透過型である(たとえば、透過型マスクを使用する)。代替案では、この装置を、反射型(たとえば、上で言及したタイプのプログラマブルミラーアレイを使用するか、反射型マスクを使用する)とすることができる。
[0027] このリソグラフィ装置は、2つ(デュアルステージ)または3つ以上の基板テーブル(および/または複数の支持構造)を有するタイプとすることができる。そのような「マルチステージ」機械では、追加のテーブルを並列に使用することができ、あるいは、1つまたは複数の他のテーブルが露光に使用されている間に、1つまたは複数のテーブルで準備ステップを実行することができる。
[0028] このリソグラフィ装置は、投影システムと基板との間の空間を充てんするために、基板の少なくとも一部を水などの比較的高い屈折率を有する液体によってカバーすることができるタイプとすることもできる。液浸液は、たとえばマスクと投影システムとの間など、このリソグラフィ装置の他の空間に与えることもできる。液浸技法は、投影システムの開口数を増やすために、当技術分野で周知である。本明細書で使用される用語「液浸」は、基板などの構造を液体に浸さなければならないことを意味するのではなく、液体が露光中に投影システムと基板との間に置かれることを意味するのみである。
[0029] 図1を参照すると、イルミネータILは、放射源SOから放射ビームを受け取る。この源およびリソグラフィ装置は、たとえば放射源がエキシマレーザーである場合に、別々の実体とすることができる。その場合に、この放射源は、リソグラフィ装置の一部を形成するとは考えられず、放射ビームは、たとえば適切な誘導ミラーおよび/またはビームエキスパンダを含む、ビームデリバリシステムBDの助けを得て、放射源SOからイルミネータILに渡される。他の場合には、たとえばこの放射源が水銀ランプであるときに、この源をリソグラフィ装置の一体の部分とすることができる。放射源SOおよびイルミネータILを、必要な場合にビームデリバリシステムBDと一緒に、放射システムと呼ぶことができる。
[0030] イルミネータILに、放射ビームの角度強度分布を調整するアジャスタADを含めることができる。一般に、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(一般にσ-outerおよびσ-innerと称する)を調整することができる。さらに、イルミネータILに、インテグレータINおよびコンデンサCOなど、さまざまな他の構成要素を含めることができる。イルミネータは、放射ビームの断面内で所望の均一性および強度分布を有するために放射ビームを条件付けるのに使用することができる。
[0031] 放射ビームBは、支持構造(たとえばマスクテーブル)MT上に保持されたパターニングデバイス(たとえばマスク)MAに入射し、このパターニングデバイスによってパターニングされる。パターニングデバイスMAを通過した放射ビームBは投影システムPSを通過し、投影システムPSはこのビームの焦点を基板Wのターゲット部分Cに合わせる。第2ポジショナPWおよび位置センサIF(たとえば、干渉計デバイス、リニアエンコーダ、または容量センサ)の助けを得て、基板テーブルWTを、たとえば異なるターゲット部分Cを放射ビームBの経路内に位置決めするために、正確に移動することができる。同様に、第1ポジショナPMおよび別の位置センサ(図1に明示的には図示せず)を使用して、たとえばマスクライブラリからの機械的取出の後またはスキャン中に、放射ビームBの経路に関してパターニングデバイスMAを正確に位置決めすることができる。一般に、支持構造MTの移動は、ロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)の助けによって実現することができ、このロングストロークモジュールおよびショートストロークモジュールは、第1ポジショナPMの一部を形成する。同様に、基板テーブルWTの移動は、第2ポジショナPWの一部を形成するロングストロークモジュールおよびショートストロークモジュールを使用して実現することができる。ステッパ(スキャナではなく)の場合に、支持構造MTを、ショートストロークアクチュエータだけに接続することができ、あるいは固定することができる。パターニングデバイスMAおよび基板Wは、パターニングデバイスアラインメントマーカーM1およびM2と基板アラインメントマーカーP1およびP2を使用して位置合せすることができる。図示の基板アラインメントマーカーは、専用のターゲット部分を占めるが、これらを、ターゲット部分の間の空間に置くことができる(これらは、けがき線(scribe-lane)アラインメントマーカーとして知られている)。同様に、複数のダイがパターニングデバイスMA上に供給される情況では、パターニングデバイスアラインメントマーカーを、ダイの間に置くことができる。
[0032] 図示された装置は、次のモードのうちの少なくとも1つで使用することができる。
1.ステップモードでは、支持構造MTおよび基板テーブルWTが、本質的に静止して保たれ、放射ビームに付与されるパターン全体が、一時にターゲット部分Cに投影される(すなわち、単一の静的露光)。次に、基板テーブルWTが、Xおよび/またはY方向にシフトされ、その結果、異なるターゲット部分Cを露光できるようになる。ステップモードでは、露光フィールドの最大サイズが、単一の静的露光で結像されるターゲット部分Cのサイズを制限する。
2.スキャンモードでは、支持構造MTおよび基板テーブルWTが、同期してスキャンされると同時に、放射ビームに付与されるパターンが、ターゲット部分Cに投影される(すなわち、単一の動的露光)。支持構造MTに対する基板テーブルWTの速度および方向は、投影システムPSの拡大(縮小)特性および像反転特性によって決定することができる。スキャンモードでは、露光フィールドの最大サイズが、単一の動的露光でのターゲット部分の幅(非スキャン方向の)を制限し、スキャン移動の長さが、ターゲット部分の高さ(スキャン方向の)を制限する。
3.もう1つのモードでは、支持構造MTは、プログラマブルパターニングデバイスを保持して本質的に静止して保たれ、基板テーブルWTは、放射ビームに付与されるパターンがターゲット部分Cに投影される間に移動されまたはスキャンされる。このモードでは、一般に、パルス放射源が使用され、プログラマブルパターニングデバイスは、基板テーブルWTの各移動の後に、またはスキャン中の連続する放射パルスの間に、必要に応じて更新される。この動作モードは、上で言及したタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用させることができる。
[0033] 上で説明した使用モードの組合せおよび/または変形、あるいは完全に異なる使用モードを採用することもできる。
[0034] このリソグラフィ装置は、エッチング、堆積(deposition)、イオンインプランテーションなどのステップを実行する処理装置を含むリソクラスタ(lithocluster)に、または、そのような装置と装置間で基板を自動的に搬送するシステムとを含む製造プラントまたはファブに含めることができる。このクラスタまたはファブは、コンピュータ制御の下に置くことができる。
[0035] 本発明の実施形態による第1の方法が、図2から図8に示されており、これらの図は、この方法がさまざまなステージにて実行される基板を示す。この方法は、アレイ内の特徴を、使用されるリソグラフィ装置を用いて通常可能であるはずの密度より高い密度でプリントすることを目指す。要約すると、この方法には、最終的に望まれる密度の半分の密度で犠牲特徴(sacrificial feature)の第1組をプリントすることと、その後、コンフォーマルコーティングを用いて特徴の第1組をカバーして、特徴の第1組および第2組の密度の2倍の密度を有する特徴の組み合わされた組を形成するために、特徴の第1組と交互配置した凹みによって特徴の第2組が画定されるようにすることと、を含む。犠牲特徴の第1組の最上部を露呈させるためおよび特徴の第2組を下にある層まで深くするためのコンフォーマルコーティングの方向性エッチングと、それに続く犠牲特徴の第1組の選択エッチングとが、所望の密度のアパーチャアレイをもたらす。この方法を、一例として以下により詳細に説明する。
[0036] 本発明のこの実施形態の方法は、たとえばコンタクトホールとして、基板10の最上層である誘電層11内の穴のアレイを画定することを目指す。誘電層11は、既知の方法を使用して前に形成された他のデバイス層の上に置くことができる。図2に示されるように、第1ハードマスク層12が、誘電層11の上に置かれる。これは、たとえば、炭化珪素(SiC)、特に非晶質炭化珪素、ポリシリコン、SiON、またはダイヤモンド状炭素(DLC)とすることができ、ブランクとするか、穴のアレイが設けられなければならない領域の端の周りにパターニングすることができる。一般に、用語「ハードマスク」は、本明細書では、気相エッチング(プラズマエッチングとも称することができる)などのエッチングプロセスに耐えるすべての層を指す。第1ハードマスク層12の上に、スタッドまたは突出特徴13のアレイが形成され、これらのスタッド13は、既知のハードマスク材料から、しかしある実施形態では選択エッチングを可能にするために第1ハードマスク層12とは異なる材料から、形成できる犠牲特徴である。図2におけるスタッド13および後続の図における他の特徴は正方形に図示されているが、これらは実際にはプロセスステップでのリソグラフィ結像の空間フィルタリング効果および化学的効果に起因して丸くプリントされるか丸められることに留意されたい。
[0037] スタッド13は、最終的に望まれるアレイの間隔の2倍の間隔で並べられ、最終的に望まれるアレイのセルの半分を占めている。これは、最終的に望まれるアレイをチェス盤と考えることによって容易に理解することができ、スタッドは、すべての黒マスの中央に位置される。また、当然のことながら、アレイが正方形である必要はない、すなわち2つの直交する方向でのスタッド間隔が同一である必要はない。最大限の密度でアレイを形成するために、スタッド間距離Pは、スタッド形成でのパターニングプロセスに使用されるリソグラフィ装置を用いてパターニングできる範囲でできる限り小さくされ、したがって、Pは、その装置の公称最小ピッチと実質的に等しい。あるスタッドから次の隣接するスタッド(すなわち、図2に示すように対角線方向ではなく水平方向または垂直方向のスタッド)までの距離は、√2Pである。スタッドアレイのパターニングは、最終的に作られるアレイの最大密度を決定する。
[0038] 次に、図3に示されるように、等角フォーマルコーティング14が、たとえばプラズマ化学気相堆積(Plasma Enhanced Chemical Vapor Deposition : PECVD)、原子層堆積(Atomic Layer Deposition : ALD)、または他の既知の技法によって、スタッド13の上に置かれる。コンフォーマルコーティング14は、第2犠牲ハードマスクを形成し、上述した既知の材料から作ることができる。この場合もやはり、ある実施形態では、コンフォーマルコーティングは、選択エッチングを助けるために、スタッドおよび/または第1ハードマスク層12とは異なる材料からなる。実質的に均一な成長を確保するための堆積プロセスの制御によって、コンフォーマルコーティング14は、穴または凹み15が4つの隣接するスタッド13の各グループ間の中央に形成される点に達するまで、スタッド13の上および周囲に蓄積される。これらの凹み15は、チェス盤の類推を想起すると、白マスの中央を占める負の特徴と見なすことができる。これらの特徴はスタッド13に自己整列され、よってオーバーレイエラーはない。凹みは、下にある層を露呈するようにするために完全にコンフォーマルコーティングを通って拡がっていても、あるいは、単にコンフォーマルコーティング内に部分的に拡がっていてもよい。
[0039] コンフォーマルコーティング14の堆積の後に、制御された方向性エッチングを実行して、図4に示されたステージに達する。この方向性エッチングは、たとえばイオン援助エッチング(ion-assisted etch)とすることができ、これにおいて、基板のイオン衝撃が、コンフォーマルコーティングを気体エッチャントと反応させる活性化エネルギを供給する。したがって、エッチングは、イオン源から離れて均一に進行する。方向性エッチングは、スタッド13の上面または末端面が露呈され、凹み15がエッチングされたコンフォーマルコーティング14’内のスルーホールになるまで、実行される。このエッチングが第1ハードマスク層12をエッチングすることを、その持続時間の制御によって、またはエッチャントを第1ハードマスク層12に反するコンフォーマルコーティングについての選択性とすることによって、防ぐことができる。
[0040] 次に、エッチングされたコンフォーマルコーティング14’に反するスタッド13の選択エッチングを実行して、図5に示された結果に達する。このエッチングされたコンフォーマルコーティング14’は、アパーチャ16のアレイを最初のスタッド13の2倍の密度で持ち、実質的にはチェス盤の黒マスと白マスの両方を占めることになる。最後に、第1ハードマスク層12は、エッチングされたコンフォーマルコーティング14’を介してエッチングされ、その結果、エッチングされた第1ハードマスク層12’は、図6に示されるように、アパーチャ17のアレイを所望の密度で有するようになる。アパーチャ間の間隔が(1/√2)Pであることに留意されたい。次に、誘電層11を、たとえばエッチングまたはイオンインプランテーションまたは他の既知のプロセスによって、エッチングされた第1ハードマスク層12’を介して、選択的に処理することができる。本発明のいくつかの方法では、第1ハードマスク層12を省略することができ、図5に示されたステージで装置を処理することによって、パターンを基板に直接に転写することができる。
[0041] 特定のグリッド位置に構造を設けることだけを望む場合には、マスク18を、第1ハードマスク層12のエッチング中に設けることができる。図7に示されるように、マスク18は、エッチングされたコンフォーマルコーティング14’の上に設けられ、構造が望まれるエッチングされたコンフォーマルコーティング14’内のアパーチャ16を露呈させる位置にてアパーチャ19を有する。マスク18内のアパーチャ19は、エッチングされる第1ハードマスク層12の正確な領域を画定せず、コンフォーマルコーティング14’内のどのアパーチャを介してエッチングが発生するかを定義するだけなので、アパーチャ16より大きくすることができる。したがって、マスク18は、スタッド13の最初の画定よりはるかにゆるやかなオーバーレイ要件および結像要件を有するリソグラフィステップでパターニングすることができる。マスク18は、単純なパターニングが施され現像されたレジスト層とすることができる。第1ハードマスク層12およびそれを介する誘電層11のマスク18を用いるエッチングと、第1ハードマスク層12の除去との後に、図8に示されたような基板を作成することができる。これは、アパーチャ16によって画定されたグリッドの選択された位置のみにて、エッチングされた穴11aを有するが、これらの穴は、従来のリソグラフィ技法によって達成できるものよりも、互いにより近い。
[0042] 本発明の実施形態による第2の方法が、図9から図14に示されており、これらの図は、この方法のさまざまなステージでの基板20を示す。この方法によれば、従来のリソグラフィ技法を用いて達成可能なピッチの半分のピッチで、一連のラインを製造することができると同時に、ライン端を正確に形成することを確実ならしめることができる。一連の特定のラインを、隣接する残りのラインに影響を与えることなく、省略することもできる。さらに、1ブロック内の最も外側のラインを、均一にすることができる。これらの利点は、パターニングデバイス空間を高価で且つリードタイムを増加させ得る光近接効果補正特徴に充てる必要なく、達成することができる。第1実施形態と同一であるおよび/または当業者に周知であるプロセス詳細および材料は、以下、説明を簡潔にするために省略する。
[0043] 第1ステップとして、一連のフィンまたは突出ライン22が、基板の最上層21上に製造され、この最上層21は、本発明の方法がデバイス製造プロセス内のどのステージで採用されるかに応じて、ベア基板(bare substrate)または最後に堆積された層とすることができ、また、最上層21は、本方法のベース層を形成する。第1実施形態と同様に、フィン22は、犠牲ハードマスク材料から形成され、リソグラフィステップによって画定される。フィンのピッチP1は、限界では使用されるリソグラフィ装置の能力によって決まるが、最終的に作られるラインのピッチを決める。フィン22は製造されることが最終的に望まれるラインの長さより長い長さL1をもって形成されることに、留意されたい。
[0044] 次に、第2犠牲ハードマスクを形成するコンフォーマルコーティング23が、フィン22の上に堆積される。図10に示されように、これは、フィン22をカバーするがその間の小路25を残すメサ24を形成し、小路25は、負のラインまたは凹みラインと見なすことができる。コンフォーマルコーティング23の堆積は、小路25が所望の幅、一実施形態ではフィン22の幅に似た幅であることを確保するように制御される。
[0045] 図11に、次のステップの結果、すなわち、フィン22の上部を露呈させ、ベース層21の上部まで小路25を深くする第2犠牲ハードマスク23のエッチングの結果を示す。そして、第2犠牲ハードマスク23に反するフィン22の選択エッチングが図12に示されたステージに達して、フィン22が除去され、小路25の間に追加の小路26が形成されている。
[0046] その後、図13に示されるように、マスク26を設ける。マスク26は、ラインが望まれる領域を露呈させるウィンドウ27を有し、言い換えると、ラインが設けられない領域をマスクする。マスク26は、ライン端もマスクし、最終的なラインの長さを制御する。必要な寸法および精度のウィンドウを画定することは特に難しくはないので、マスク26は、フィン22をパターニングするのに使用される分解能より低い分解能で実行されるリソグラフィステップでパターニングすることができる。このステージにて、ラインパターンが、たとえばエッチングにより、ベース層21に転写される。マスク26および残っている第2犠牲ハードマスク23を除去することによって、図14に示された完成層が得られる。パターン転写ステップによってベース層21内に形成されたライン29の両端は、マスク26によって画定されたので、普通発生するはずのライン端歪みは、少なくなり得るかあるいは存在しなくなり得る。
[0047] 本発明の実施形態による第3の方法を、図15から図26を参照して説明する。この第3の方法では、ハードマスク層33によってカバーされた第1酸化物層32と、第2酸化物層とを有する基板31が設けられる。ステップ1は、ピッチPおよび直径P/2のレジスト柱の正方形アレイまたは直交アレイを画定するリソグラフィステップである。そして第2酸化物層を、このレジスト柱をマスクとして使用してエッチングし、酸化物柱34を形成する。次に、ステップ2では、これらの酸化物柱34を、等方性エッチングプロセスを使用してP/4の直径まで細くし、図15および16に示したところに達する。ピッチPで直径P/4のこの柱アレイは、ポジレジスト内のラインの二重直交露光(double orthogonal exposure)によって、または二重層レジストスタックのプラズマラインスリミング(plasma line slimming)によって、作ることもできる。図15は平面図であり、図16は図15の線A−Aに沿った断面である。これらからわかるように、基板は、ハードマスク層33上に、直径P/4を持つ酸化物柱34の、ピッチPの正方形アレイを有する。
[0048] 次のステップであるステップ3は、たとえば化学気相堆積によって、酸化物柱を、ポリシリコンなどの材料または上述した他のコーティング材料でコンフォーマルにコーティングすることである。コーティング材料35は、約0.58Pの深さまで堆積され、したがって、酸化物柱34の周囲に直径(P/4+2×0.58P)の島が形成され、アレイ内の隙間位置に未充てんの空洞36が残される。隙間空洞36は、約P/4の直径を有し、4つの部分的にオーバーラップする円の間に残される形状どおりになるのではなく、丸められる傾向がある。これが、図17および18に示されており、図17は平面図であり、図18は線B−Bに沿った断面である。
[0049] 次に、ステップ4で、RIEなどの異方性エッチングを実行して、酸化物柱34の上および隙間空洞36の最下部のコーティング材料35を除去し、図19および20に示されたところに達する。図19は平面図であり、図20は線B−Bに沿った断面である。このステージでは、エッチングされたコーティング材料35’は、酸化物柱34と実質的に同一の厚さを有し、隙間空洞36はハードマスク層33まで延びる。
[0050] 次に、酸化物柱34をエッチングによって除去することができ、これがステップ5であり、ハードマスク33は、酸化物柱34および隙間空洞36のエッチング止めとして働く。このエッチングによって、図21および22に示されたところに達する。図21は平面図であり、図22は線B−Bに沿った断面である。ハードマスク33の上には、単一の層すなわちエッチングされたコーティング材料35’の残りがあり、エッチングされたコーティング材料35’は、ピッチP/√2の千鳥アレイ上のアパーチャを有する。次に、この層は、ステップ6でエッチングまたは他のプロセスのマスクとして使用することができ、その結果、千鳥アレイパターンがハードマスク33または基板の他の層に転写されるようになる。
[0051] 代替案では、この方法を繰り返して、ピッチP/2の正方形アレイを作成することができる。これを行うためには、図21および図22に示された千鳥アレイパターンをハードマスクに転写するのではなく、酸化物コーティングを堆積させて、エッチングされたコーティング材料35’内の空洞のすべてを充てんする。次に、これを平坦化させ、エッチングバックさせて、酸化物が図23および24に示されるように空洞だけを充てんするようにする。図23は平面図であり、図24は線B−Bに沿った断面である。コーティング35’の残りを除去することによって、ピッチP/√2を有する酸化物柱37の千鳥アレイがもたらされる。ステップ3および4を、約.25Pの深さを有する第2コンフォーマル層38を用いて繰り返すことによって、図25および26に示すように、直径P/4の第2の隙間空洞39の組が、ピッチP/√2のアレイ内で作成される。酸化物柱37をエッチングによって除去する、すなわちステップ5を繰り返すことによって、ピッチP/2の穴の正方形アレイを有する1つの層すなわち第2コンフォーマル層38の残りが作成される。次に、これを、望み通りにハードマスクまたは下の他の層に転写することができる。ステップ3、4、および5をさらに繰り返して、ピッチP/(2√2)、P/4などのアレイを作ることも可能である。
[0052] 本発明の方法は、コンタクトホールおよびビア、ならびにDRAM、フラッシュRAM、組込みメモリ、および規則的に繰り返されるアレイ内のたとえばコンタクトホールなどの構造を必要とする他のタイプのメモリといった他のデバイス、の形成に特に有用である。画定するリソグラフィステップは、最終的に得られるピッチまたは特徴密度の約半分以下で実行されるので、OPC特徴なしで達成でき、それでもより良くより一貫した結果を達成することができる。具体的には、特徴アレイを、所望の領域より大きい領域にわたって広げることができ、このアレイが、その後、後続の厳しくないマスキングステップでカットバックされるので、端の特徴と中央の特徴との間の差などのエッジ効果を避けることができる。
[0053] ここでは、リソグラフィ装置を使用するICの製造での本方法の使用に対して具体的に言及する場合があるが、当然のことながら、本明細書で説明される方法は、集積光学システム、磁気ドメインメモリの案内パターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドなどの製造など、他の応用例を有し得る。当業者は、そのような代替応用例の文脈で、本明細書での用語「ウェーハ」または「ダイ」のすべての使用を、それぞれより一般的な用語「基板」または「ターゲット部分」と同義と考えることができることを認識できるであろう。本明細書で言及される基板は、露光の前または後に、たとえばトラック(通常はレジストの層を基板に付け、露光されたレジストを現像するツール)、メトロロジツールおよび/またはインスペクションツールで処理することができる。適用可能な場合に、本明細書の開示を、上記のおよび他の基板処理ツールに適用することができる。さらに、基板を、たとえば複数層ICを作成するために、複数回処理することができ、その結果、本明細書で使用される基板という用語は、複数の処理された層を既に含む基板をも指す場合がある。
[0054] 上で、光リソグラフィの文脈での本発明の実施形態の使用に具体的に言及した場合があるが、当然のことながら、本発明はたとえばインプリントリソグラフィなどの他の応用例で使用することができ、文脈が許す場合には本発明は光リソグラフィに限定されない。インプリントリソグラフィでは、パターニングデバイス内のトポグラフィが、基板上に作成されるパターンを画定する。パターニングデバイスのトポグラフィは、基板に供給されるレジストの層にプレス加工することができ、その後、このレジストは、電磁放射、熱、圧力、またはこれらの組合せを与えることによって硬化される。パターニングデバイスは、レジストから離れて移動され、レジストが硬化された後に、パターンがレジスト内に残される。
[0055] 本明細書で使用される用語「放射」および「ビーム」は、紫外線(UV)放射(たとえば、365nm、355nm、248nm、193nm、157nm、または126nmあるいはその付近の波長を有する)および極端紫外線(EUV)放射(たとえば、5〜20nmの範囲の波長を有する)ならびにイオンビームまたは電子ビームなどの粒子ビームを含む、すべてのタイプの電磁放射を含む。
[0056] 用語「レンズ」は、文脈が許す場合、屈折型、反射型、磁気型、電磁型、および静電型の光学コンポーネントを含むさまざまなタイプの光学コンポーネントのうちのいずれか1つまたはその組合せを指すことができる。
[0057] 本発明の特定の実施形態を上で説明したが、当然のことながら、本発明は説明した以外にも実践できる。たとえば、本発明は、上述の方法を記述した機械読取可能命令の1つまたは複数のシーケンスを含むコンピュータプログラム、またはそのようなコンピュータプログラムを格納したデータ記憶媒体(たとえば、半導体メモリ、磁気ディスク、または光ディスク)の形をとることができる。
[0058] 上の説明は、限定的ではなく例示的であることを意図している。したがって、添付の特許請求の範囲から逸脱せずに、説明した発明に対して変更を行えることは、当業者に明白であろう。
[0016]本発明の1つまたは複数の実施形態で使用可能なリソグラフィ装置を示す。 [0017]本発明の一実施形態による第1の方法の実行におけるさまざまなステージでの基板を示す。 [0017]本発明の一実施形態による第1の方法の実行におけるさまざまなステージでの基板を示す。 [0017]本発明の一実施形態による第1の方法の実行におけるさまざまなステージでの基板を示す。 [0017]本発明の一実施形態による第1の方法の実行におけるさまざまなステージでの基板を示す。 [0017]本発明の一実施形態による第1の方法の実行におけるさまざまなステージでの基板を示す。 [0017]本発明の一実施形態による第1の方法の実行におけるさまざまなステージでの基板を示す。 [0017]本発明の一実施形態による第1の方法の実行におけるさまざまなステージでの基板を示す。 [0018]本発明の一実施形態による第2の方法の実行におけるさまざまなステージでの基板を示す。 [0018]本発明の一実施形態による第2の方法の実行におけるさまざまなステージでの基板を示す。 [0018]本発明の一実施形態による第2の方法の実行におけるさまざまなステージでの基板を示す。 [0018]本発明の一実施形態による第2の方法の実行におけるさまざまなステージでの基板を示す。 [0018]本発明の一実施形態による第2の方法の実行におけるさまざまなステージでの基板を示す。 [0018]本発明の一実施形態による第2の方法の実行におけるさまざまなステージでの基板を示す。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。 [0019]本発明の一実施形態による第3の方法の実行におけるさまざまなステージでの基板を示す。奇数番号の図面は平面図であり、偶数番号の図面は断面図である。

Claims (22)

  1. リソグラフィ装置を使用するデバイス製造方法であって、
    基板の第1層上に犠牲特徴アレイをプリントすることであって、前記犠牲特徴アレイが単位面積あたり第1特徴個数を有する、該プリントすること、
    第1穴アレイを画定する第1マスクを形成するために、前記犠牲特徴アレイに実質的にコンフォーマルなコーティングを設けることであって、前記第1穴アレイが前記犠牲特徴アレイと交互配置され且つ前記単位面積あたり第1特徴個数を有する、該設けること、
    前記単位面積あたり第1特徴個数の2倍の単位面積あたり第2特徴個数を有する第2穴アレイを前記第1穴アレイを用いて画定する、前記第1マスク内のさらなる穴を形成するために、前記犠牲特徴を除去すること、
    前記第2穴アレイのうちの選択された部分をカバーする第2マスクを設けること、および
    前記第1層のうちで前記第1および第2マスクによってカバーされない部分を処理すること、
    を含む方法。
  2. 実質的にコンフォーマルなコーティングを設けることが、前記犠牲特徴の上に実質的にコンフォーマルなコーティングを付すこと、および前記犠牲特徴の表面を露呈させるために前記実質的にコンフォーマルなコーティングを方向性エッチングすることを含む、請求項1に記載の方法。
  3. 前記第1層がハードマスクである、請求項1に記載の方法。
  4. 前記第1層が炭化珪素、ポリシリコン、SiON、およびダイヤモンド状炭素からなる群から選択された材料から形成される、請求項3に記載の方法。
  5. 前記第1マスクがハードマスクである、請求項1に記載の方法。
  6. 前記第1マスクが炭化珪素、ポリシリコン、SiON、およびダイヤモンド状炭素からなる群から選択された材料から形成される、請求項5に記載の方法。
  7. 前記第2マスクが現像されたレジスト内に形成される、請求項1に記載の方法。
  8. 前記犠牲特徴がスタッドである、請求項1に記載の方法。
  9. 前記第1アレイが千鳥状アレイである、請求項1に記載の方法。
  10. 前記第2マスクが前記第2アパーチャアレイのうちの選択されたアパーチャの全体をカバーする、請求項1に記載の方法。
  11. 前記犠牲特徴がラインである、請求項1に記載の方法。
  12. 前記第2マスクが前記第2アパーチャアレイのアパーチャの端をカバーする、請求項11に記載の方法。
  13. 前記第2マスクが前記第2アパーチャアレイのうちの選択されたアパーチャの全体をカバーする、請求項11に記載の方法。
  14. リソグラフィ装置を使用するデバイス製造方法であって、
    単位面積あたり第1特徴個数で第1突出特徴アレイを形成するために、前記リソグラフィ装置を使用して基板の第1犠牲ハードマスクをパターニングすること、
    前記単位面積あたり第1特徴個数で凹み特徴アレイが形成されるように、前記第1アレイ上に第2犠牲ハードマスクを実質的にコンフォーマルに堆積することであって、前記凹み特徴が前記突出特徴間に散在する、該堆積すること、
    前記突出特徴の末端面を露呈させるため、および前記凹み特徴が前記第2犠牲ハードマスクを通るアパーチャになるように、前記第2犠牲ハードマスクを方向性エッチングすること、
    前記第2犠牲ハードマスク内にアパーチャを残すために、前記突出特徴を選択エッチングによって除去すること、および
    前記第2犠牲ハードマスク内の前記アパーチャを介して前記基板の第1ハードマスクをエッチングすること、
    を含む方法。
  15. 前記第1ハードマスクをエッチングする前に、前記第2犠牲ハードマスク内の前記アパーチャのうちの選択されたアパーチャをカバーするレジストマスクを設けることをさらに含む、請求項14に記載の方法。
  16. 前記リソグラフィ装置がリソグラフィ投影装置である、請求項14に記載の方法。
  17. リソグラフィ装置を使用するデバイス製造方法であって、
    第1ピッチで突出ラインアレイを形成するために、前記リソグラフィ装置を使用して基板の第1犠牲ハードマスクをパターニングすること、
    前記突出ラインを埋め、前記埋められた突出ラインと交互配置した第2凹みラインアレイを形成するために、第2犠牲ハードマスクを実質的にコンフォーマルに堆積すること、
    前記埋められた突出ラインの末端面を露呈させるため、および前記凹みラインが前記第2犠牲ハードマスクを通るアパーチャになるように、前記第2犠牲ハードマスクを方向性エッチングすること、
    前記第2犠牲ハードマスク内にアパーチャを残すために、前記埋められた突出ラインを選択エッチングによって除去すること、
    少なくとも前記第2犠牲マスク内の1つまたは複数の前記アパーチャの端をマスクすること、および
    前記第2犠牲ハードマスク内の前記アパーチャを介して前記基板をエッチングすること、
    を含む方法。
  18. 1つまたは複数のアパーチャが完全にマスクされる、請求項17に記載の方法。
  19. 基板の第1層上に単位面積あたり第1特徴個数で第1犠牲特徴アレイをプリントすること、
    前記単位面積あたり第1特徴個数で第1アパーチャアレイを画定する第1マスクを形成するために、前記第1犠牲特徴アレイに実質的にコンフォーマルなコーティングを設けることであって、前記第1アパーチャアレイが前記犠牲特徴アレイと交互配置される、該設けること、
    前記単位面積あたり第1特徴個数の2倍の単位面積あたり第2特徴個数で第2アパーチャアレイを前記第1アパーチャアレイを用いて画定する、前記第1マスク内のさらなるアパーチャを形成するために、前記第1犠牲特徴アレイを除去すること、
    第2犠牲特徴アレイを形成するために、前記第2アパーチャアレイを充てんすること、
    前記単位面積あたり第2特徴個数で第3アパーチャアレイを画定する第2マスクを形成するために、前記第2犠牲特徴アレイに実質的にコンフォーマルなコーティングを設けることであって、前記第3アパーチャアレイが前記第2犠牲特徴アレイと交互配置される、該設けること、および
    前記単位面積あたり第2特徴個数の2倍の単位面積あたり第3特徴個数で第4アパーチャアレイを前記第3アパーチャアレイを用いて画定する、前記第2マスク内のさらなるアパーチャを形成するために、前記第2犠牲特徴アレイを除去すること、
    を含む、デバイス製造方法。
  20. 前記充てんするステップ、前記設けるステップ、および前記除去するステップが、1回または複数回繰り返される、請求項19に記載の方法。
  21. デバイス製造方法を実行するためにリソグラフィ装置を含むリソグラフィクラスタを制御するプログラムコードを含むコンピュータプログラムであって、
    基板の第1層上に単位面積あたり第1特徴個数で犠牲特徴アレイをプリントすること、
    前記単位面積あたり第1特徴個数で第1アパーチャアレイを画定する第1マスクを形成するために、前記犠牲特徴アレイに実質的にコンフォーマルなコーティングを設けることであって、前記第1アパーチャアレイが前記犠牲特徴アレイと交互配置される、該設けること、
    前記単位面積あたり第1特徴個数の2倍の単位面積あたり第2特徴個数で第2アパーチャアレイを前記第1アパーチャアレイを用いて画定する、前記第1マスク内のさらなるアパーチャを形成するために、前記犠牲特徴を除去すること、および
    前記第1層のうちで前記第1マスクによってカバーされない部分を処理すること、
    を含むコンピュータプログラム。
  22. 前記第2アパーチャアレイの選択された部分をカバーする第2マスクを設けることを実行するプログラムコードをさらに含み、前記第1層の部分を処理することを実行する前記コンピュータプログラムコードが、前記第1層のうちで前記第1および第2マスクによってカバーされない部分を処理することを実行するコンピュータプログラムコードを含む、請求項21に記載のコンピュータプログラム。
JP2007026396A 2006-02-13 2007-02-06 デバイス製造方法およびコンピュータプログラム Expired - Fee Related JP5204410B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/352,400 US20070190762A1 (en) 2006-02-13 2006-02-13 Device manufacturing method and computer program product
US11/352,400 2006-02-13
US11/435,296 US7897058B2 (en) 2006-02-13 2006-05-17 Device manufacturing method and computer program product
US11/435,296 2006-05-17

Publications (2)

Publication Number Publication Date
JP2007281428A true JP2007281428A (ja) 2007-10-25
JP5204410B2 JP5204410B2 (ja) 2013-06-05

Family

ID=38001900

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007026396A Expired - Fee Related JP5204410B2 (ja) 2006-02-13 2007-02-06 デバイス製造方法およびコンピュータプログラム

Country Status (6)

Country Link
US (1) US7897058B2 (ja)
EP (1) EP1818977A3 (ja)
JP (1) JP5204410B2 (ja)
KR (1) KR100835326B1 (ja)
SG (1) SG135111A1 (ja)
TW (1) TWI352881B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010103539A (ja) * 2008-10-23 2010-05-06 Applied Materials Inc 高度な微小寸法コンタクトのための自己整合多重パターン形成
KR20110015624A (ko) * 2008-05-12 2011-02-16 에이에스엠엘 네델란즈 비.브이. 리소그래피용 검사 장치
JP2013172070A (ja) * 2012-02-22 2013-09-02 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2017520908A (ja) * 2014-06-13 2017-07-27 インテル・コーポレーション 規則的なグリッドの選択的削減による縦型チャネルトランジスタ製造処理
CN108780739A (zh) * 2016-03-11 2018-11-09 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100720115B1 (ko) * 2005-08-24 2007-05-18 삼성전자주식회사 삼차원 지지체 및 그 제조 방법
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP2009004535A (ja) * 2007-06-21 2009-01-08 Toshiba Corp パターン形成方法
WO2009016438A1 (en) * 2007-07-27 2009-02-05 Freescale Semiconductor, Inc. Method of forming openings in a semiconductor device and semiconductor device
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7759201B2 (en) 2007-12-17 2010-07-20 Sandisk 3D Llc Method for fabricating pitch-doubling pillar structures
US7981592B2 (en) 2008-04-11 2011-07-19 Sandisk 3D Llc Double patterning method
US7713818B2 (en) 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US7786015B2 (en) * 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
US7781269B2 (en) 2008-06-30 2010-08-24 Sandisk 3D Llc Triangle two dimensional complementary patterning of pillars
US7732235B2 (en) 2008-06-30 2010-06-08 Sandisk 3D Llc Method for fabricating high density pillar structures by double patterning using positive photoresist
US8076056B2 (en) 2008-10-06 2011-12-13 Sandisk 3D Llc Method of making sub-resolution pillar structures using undercutting technique
US7923305B1 (en) 2010-01-12 2011-04-12 Sandisk 3D Llc Patterning method for high density pillar structures
US8026178B2 (en) 2010-01-12 2011-09-27 Sandisk 3D Llc Patterning method for high density pillar structures
US8575020B2 (en) * 2011-03-02 2013-11-05 Texas Instruments Incorporated Pattern-split decomposition strategy for double-patterned lithography process
US8461038B2 (en) * 2011-03-02 2013-06-11 Texas Instruments Incorporated Two-track cross-connects in double-patterned metal layers using a forbidden zone
KR102015568B1 (ko) * 2012-08-27 2019-08-28 삼성전자주식회사 반도체 소자의 제조 방법
US10678137B2 (en) 2014-09-22 2020-06-09 Intel Corporation Multi-pass patterning using nonreflecting radiation lithography on an underlying grating
US10838309B2 (en) 2015-02-23 2020-11-17 Asml Netherlands B.V. Device manufacturing method and patterning devices for use in device manufacturing method
US11289493B2 (en) 2019-10-31 2022-03-29 Winbond Electronics Corp. Patterning method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH07230942A (ja) * 1994-02-18 1995-08-29 Hitachi Ltd マルチチャンバシステム及びその制御方法
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US20030157436A1 (en) * 2002-02-20 2003-08-21 Dirk Manger Method for forming a hard mask in a layer on a planar device
JP2006351861A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4496216A (en) * 1982-12-30 1985-01-29 Polaroid Corporation Method and apparatus for exposing photosensitive material
US5420067A (en) * 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US5618383A (en) * 1994-03-30 1997-04-08 Texas Instruments Incorporated Narrow lateral dimensioned microelectronic structures and method of forming the same
US5759744A (en) * 1995-02-24 1998-06-02 University Of New Mexico Methods and apparatus for lithography of sparse arrays of sub-micrometer features
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR0166836B1 (ko) * 1996-05-02 1999-01-15 문정환 위상반전 마스크 및 그 제조방법
US5998256A (en) * 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
TW358236B (en) * 1997-12-19 1999-05-11 Nanya Technology Corp Improved local silicon oxidization method in the manufacture of semiconductor isolation
JP3363799B2 (ja) 1998-08-28 2003-01-08 キヤノン株式会社 デバイスの構造部分の配置方法およびデバイス
US6204187B1 (en) * 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6589713B1 (en) 2001-01-29 2003-07-08 Advanced Micro Devices, Inc. Process for reducing the pitch of contact holes, vias, and trench structures in integrated circuits
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US7122296B2 (en) 2002-03-05 2006-10-17 Brewer Science Inc. Lithography pattern shrink process and articles
SG120949A1 (en) * 2002-09-20 2006-04-26 Asml Netherlands Bv Alignment system and methods for lithographic systems using at least two wavelengths
KR20040061972A (ko) * 2002-12-31 2004-07-07 동부전자 주식회사 비휘발성 메모리 소자의 제조방법
JP4619839B2 (ja) * 2005-03-16 2011-01-26 株式会社東芝 パターン形成方法
US7358140B2 (en) * 2005-11-04 2008-04-15 International Business Machines Corporation Pattern density control using edge printing processes
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US7476933B2 (en) * 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH07230942A (ja) * 1994-02-18 1995-08-29 Hitachi Ltd マルチチャンバシステム及びその制御方法
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US20030157436A1 (en) * 2002-02-20 2003-08-21 Dirk Manger Method for forming a hard mask in a layer on a planar device
JP2006351861A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110015624A (ko) * 2008-05-12 2011-02-16 에이에스엠엘 네델란즈 비.브이. 리소그래피용 검사 장치
US9529278B2 (en) 2008-05-12 2016-12-27 Asml Netherlands B.V. Inspection apparatus to detect a target located within a pattern for lithography
KR101714561B1 (ko) * 2008-05-12 2017-03-09 에이에스엠엘 네델란즈 비.브이. 패턴 내에 위치된 타겟을 검출하는 리소그래피용 검사 장치
JP2010103539A (ja) * 2008-10-23 2010-05-06 Applied Materials Inc 高度な微小寸法コンタクトのための自己整合多重パターン形成
JP2013172070A (ja) * 2012-02-22 2013-09-02 Toshiba Corp 半導体装置および半導体装置の製造方法
US8865589B2 (en) 2012-02-22 2014-10-21 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of semiconductor device
JP2017520908A (ja) * 2014-06-13 2017-07-27 インテル・コーポレーション 規則的なグリッドの選択的削減による縦型チャネルトランジスタ製造処理
CN108780739A (zh) * 2016-03-11 2018-11-09 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺
JP2019514042A (ja) * 2016-03-11 2019-05-30 インプリア・コーポレイションInpria Corporation 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス
JP6993982B2 (ja) 2016-03-11 2022-02-04 インプリア・コーポレイション 予めパターン形成されたリソグラフィ・テンプレート、該テンプレートを使用した放射線パターニングに基づくプロセス、及び該テンプレートを形成するためのプロセス
US11347145B2 (en) 2016-03-11 2022-05-31 Inpria Corporation Pre-patterned lithography templates
CN108780739B (zh) * 2016-03-11 2023-09-15 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺
US11886116B2 (en) 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps

Also Published As

Publication number Publication date
EP1818977A2 (en) 2007-08-15
JP5204410B2 (ja) 2013-06-05
TWI352881B (en) 2011-11-21
SG135111A1 (en) 2007-09-28
EP1818977A3 (en) 2007-10-17
US7897058B2 (en) 2011-03-01
KR20070081765A (ko) 2007-08-17
KR100835326B1 (ko) 2008-06-04
US20070187358A1 (en) 2007-08-16
TW200734831A (en) 2007-09-16

Similar Documents

Publication Publication Date Title
JP5204410B2 (ja) デバイス製造方法およびコンピュータプログラム
US20070190762A1 (en) Device manufacturing method and computer program product
US10461039B2 (en) Mark, method for forming same, and exposure apparatus
JP4422774B2 (ja) マーカ構造、位置合わせ方法、超小型電子デバイス用の基板、およびリソグラフィ投影機器
JP4482543B2 (ja) リソグラフィ基板の露光方法
US10338472B2 (en) Mark forming method and device manufacturing method
JP4611329B2 (ja) アライメントマーク保存方法、及び自己位置合わせアライメントマーク構造
KR101156076B1 (ko) 이중 패터닝 리소그래피 프로세스에서 레지스트 정렬 마크들을 제공하기 위한 장치 및 방법
JP2007258707A (ja) リソグラフィ装置および二重露光オーバレイ制御を用いたデバイス製造方法
KR101120149B1 (ko) 리소그래피 방법 및 리소그래피 장비
JP2010141315A (ja) マーカの形成方法、マーカを有する基板及びデバイス製造方法
US10222700B2 (en) Method for forming pattern and method for producing device
EP3389095A1 (en) Manufacturing method for a finfet, finfet and device comprising a finfet

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110310

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110610

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110817

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111212

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20111220

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120224

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130118

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130215

R150 Certificate of patent or registration of utility model

Ref document number: 5204410

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees