TW201634756A - 清潔配方 - Google Patents

清潔配方 Download PDF

Info

Publication number
TW201634756A
TW201634756A TW105110403A TW105110403A TW201634756A TW 201634756 A TW201634756 A TW 201634756A TW 105110403 A TW105110403 A TW 105110403A TW 105110403 A TW105110403 A TW 105110403A TW 201634756 A TW201634756 A TW 201634756A
Authority
TW
Taiwan
Prior art keywords
weight
acid
composition
group
water
Prior art date
Application number
TW105110403A
Other languages
English (en)
Other versions
TWI647337B (zh
Inventor
稻岡誠二
威廉 傑克 Jr 加斯特爾
劉文達
Original Assignee
氣體產品及化學品股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 氣體產品及化學品股份公司 filed Critical 氣體產品及化學品股份公司
Publication of TW201634756A publication Critical patent/TW201634756A/zh
Application granted granted Critical
Publication of TWI647337B publication Critical patent/TWI647337B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/046Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/32Amides; Substituted amides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

本發明描述一種從圖案化微電子裝置移除含銅的蝕刻後及/或灰化後殘留物的組合物及方法。該移除組合物包括水、氟離子來源、烷醇胺、硫酸及有機酸。該等組合物從該微電子裝置有效地移除該含銅和鈷的蝕刻後殘留物而不會損害露出的低-k介電及金屬互連件材料。

Description

清潔配方 相關申請案之交互參照
本案請求2015年3月31日申請的美國臨時申請案第62/140,751號之優先權,在此以引用的方式將其全文併入本文。
本發明關於能用於各種不同應用的清潔組合物,該等應用包括,舉例來說,移除半導體基材上的不想要的阻劑膜、蝕刻後及灰化後殘留物。特別是,本發明關於可用於從基材,較佳為微電子裝置,的表面移除殘留物,較佳為含銅的蝕刻後及/或灰化後殘留物之清潔組合物,及前述用於移除殘留物的組合物之使用方法。
本發明的背景將關聯其於涉及積體電路製造的清潔應用方面之用途做描述。然而,理應瞭解本發明的用途具有如後文所述的更廣泛適用性。
在製造積體電路時,有時候必須於沉積或長在位 於處理中的積體電路晶圓上之矽、砷化鎵、玻璃或其他基材表面上的薄膜中蝕刻開口或其他幾何形狀。目前用於蝕刻此膜的方法必需使該膜暴露於化學蝕刻劑以移除該膜的數部位。用以移除該膜的數部位之特定蝕刻劑取決於該膜的本質。在氧化物膜的案例中,舉例來說,該蝕刻劑可為氫氟酸。在多晶矽膜的案例中,其通常為氫氟酸或硝酸和醋酸的混合物。
為了確保僅移除預期的膜部位,所以使用光微影蝕刻法,透過該方法將於電腦繪製的光罩中的圖案轉移至該膜的表面。該光罩負責確認該膜待選擇性移除的區域。此圖案係利用光阻劑材料形成,該材料係依薄膜噴灑於該處理中的積體電路晶圓上並且於通過該光罩投影的高強度輻射底下曝光之光敏性材料。該露出的或未露出的光阻劑材料,取決於其組成,通常利用顯影劑來溶解,留下讓蝕刻在選定區域中發生的圖案,同時預防其他區域的蝕刻。已經有人將正型阻劑,舉例來說,廣泛地當作將圖案輪廓描於基材上的遮罩材料使用,當蝕刻發生時,該基材將變成通孔、溝槽、接觸孔等等。
逐漸地,利用乾式蝕刻程序例如,舉例來說,電漿蝕刻、反應性離子蝕刻或離子減薄(ion milling)攻擊該基材沒受到光阻劑保護的區域而生成該等通孔、溝槽、接觸孔等等。由於該電漿蝕刻程序的結果,光阻劑、蝕刻氣體及被蝕刻材料副產物當殘留物沉積於該基材的蝕刻開口四周或側壁上。
這樣的乾式蝕刻程序通常也使該阻劑遮罩極難以移除。舉例來說,在複雜半導體裝置例如先進DRAM和具有多層互連件配線的後段製程的邏輯裝置中,運用反應性離子蝕刻法(RIE)製造通過層間介電質的通孔以提供一層矽、矽化物或金屬配線與下一層配線之間的接觸。這些通孔通常露出Al、AlCu、Cu、Ti、TiN、Ta、TaN、矽或矽化物例如,舉例來說,鎢、鈦或鈷的矽化物。該RIE程序,舉例來說,於包含複雜混合物的牽涉基材上留下殘留物,該複雜混合物可能包括,舉例來說,再濺鍍的氧化物材料、衍生自該蝕刻氣體的聚合性材料及來自用以畫出該等通孔輪廓的阻劑的有機材料。
此外,伴隨著該蝕刻步驟終止,必須從晶圓的被保護區域移除該等光阻劑和阻劑殘留物以便使最終的潤飾操作能發生。這能於電漿"灰化"步驟中藉由使用適合的電漿灰化氣體完成。這通常於高溫,舉例來說,高於200℃下發生。灰化將大部分有機殘留物轉化成揮發性物種,但是主要無機殘留物卻於之後留於該基材上。此殘留物通常不僅留在該基材表面上,而且也留在可能出現的通孔內壁上。結果,經常利用常被稱作"液態滌除組合物"的清潔組合物處理經灰化的基材以從該基材移除高度附著的殘留物。找尋用於移除此殘留物而無負面影響,例如,侵蝕、溶解或稀釋,的適合清潔組合物時,也證明此金屬電路系統有問題。無法完全移除該殘留物或消除該殘留物的効力會造成電路系統配線的不連續及不宜的電阻提高。
蝕刻後殘留物的清潔使用於任何低-k介電質材料的重要加工步驟皆能順利完成。當該低-k材料的介電常數下降到低於2.4時,化學和機械選擇性提高(例如,化學強度降低等等),藉以需要更短的加工時間及/或較沒有攻擊性的化學性質。不幸地,較短的加工時間一般均轉變成更具有攻擊性的化學性質,其會對於該低-k介電質材料及其他堆疊材料(例如,銅、蝕刻擋止層等等)具有不利的效應。
另外,有不同金屬的新用途正在研發,於是產生移除其殘留物的挑戰。有一個這樣的實例是用鈷充當擴散阻障層的絕緣層以防止銅移入晶圓或介電層。含鈷蝕刻後殘留物極難從,舉例來說,通孔壁移除。因此,期盼有非常高選擇性的改良清潔化學藥品。
先前技藝的滌除組合物包括,舉例來說:美國專利第7,399,356號(Aoyama)、美國專利第6,755,989號(Wojtczak)、美國專利第7,250,391號(Kanno)、美國專利第7,723,280號(Brainard)、美國專利申請公開第2006/0016785號(Egbe);美國專利申請公開第2006/0178282號(Suyama)、美國專利申請公開第2006/0237392號(Auger)、美國專利申請公開第2006/0270573號(Ikemoto)、美國專利申請公開第2007/0078073號(Auger)及美國專利申請公開第2009/0301996號(Visintin)。然而,此用於移除該蝕刻殘留物的先前技藝滌除組合物有重大的缺點。舉例來說,其應用傾向侵蝕露出通孔底部上的銅線。再者,在關於多孔性層間低-k介電質的方面,先前技藝滌除組合物蝕刻該等多孔性層間介電材料或包 括吸附於細孔中的組分,因此使介電常數,k,提高,其有可能負向地衝擊最終裝置的效能。
因此,此技藝需要用於後段製程清潔操作的清潔組合物,該清潔組合物有效地清潔包含多孔性層間介電層的基材,但是不會顯著蝕刻到金屬(例如,Cu、Al)或該多孔性低-k介電質,而且不會明顯負向地衝擊該等多孔性低-k膜的介電常數。
本發明藉由提供一種有用於從半導體基材移除殘留物的組合物滿足此需求,該組合物包含:a)約25重量%至約80重量%的水;b)以活性物質為基準,約0.01重量%至約5重量%的氟離子來源;c)約0.01重量%至約10重量%的硫酸;d)約1重量%至約50重量%的烷醇胺;及e)約0.5重量%至約25重量%的有機酸,其中該組合物的pH係7至9。
在另一態樣中,提供一種有用於從半導體基材移除殘留物的組合物,該組合物包含:約25重量%至約80重量%的水;以活性物質為基準,約0.01重量%至約5重量%的氟離子來源;約0.01重量%至約10重量%的硫酸;約1重量%至約50重量%的烷醇胺;約1重量%至約25重量%的有機酸,其中該組合物的pH係7至9。
在另一態樣中,提供一種組合物,其包含:約50重量%至約80重量%的水;以活性物質為基準,約9.5重量%至約15重量%的氟離子來源;約2.0重量%至約8重量%的硫 酸;約25重量%至約30重量%的烷醇胺;約0.8重量%至約2.0重量%的有機酸,其中該組合物的pH係7至9。
在又另一態樣中,提供一種組合物,其包含:約50重量%至約80重量%的水;約20重量%至約30重量%的至少一烷醇胺;約5重量%至約15重量%的HF;約0.5重量%至約2重量%的左旋抗壞血酸;及約1.5重量%至約8重量%的硫酸;及約0.1%的三唑,該三唑係選自由苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯并三唑所組成的群組,其中該組合物的pH係7至9。
在又再另一態樣中,提供一種組合物,其包含:約50重量%至約80重量%的水;以活性物質為基準,約9.5重量%至約15重量%的氟離子來源;約2.0重量%至約8重量%的硫酸;約25重量%至約30重量%的烷醇胺;約0.8重量%至約2.0重量%的有機酸,其中該組合物的pH係7至9。
在又再另一態樣中,提供一種組合物,其包含:約5重量%至約25重量%的水;約10重量%至約45重量%的水可混溶性有機溶劑,其係選自由丙二醇、甘油、二甲基乙醯胺、四氫呋喃甲醇、乙二醇、己二醇及其混合物所組成的群組;約20重量%至約30重量%的至少一烷醇胺;約5重量%至約15重量%的HF;約0.5重量%至約2重量%的有機酸,其係選自由左旋抗壞血酸、草酸、丙二酸、檸檬酸、醋酸、亞胺基二醋酸、乳酸、對-甲苯磺酸、沒食子酸及其混合物所組成的群組;約1.5重量%至約8重量%的硫酸;及約0.1%的 三唑,其係選自由苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯并三唑所組成的群組,其中該組合物具有約7至約9的pH。
在又另一態樣中,本文提供一種從半導體基材移除殘留物之方法,該方法包含以下步驟:使該半導體基材與本文所述的清潔組合物接觸,其中該半導體基材包含具有一介電常數的多孔性介電材料;從該半導體基材沖洗掉該清潔組合物;及乾燥該半導體基材,其中該多孔性介電材料的介電常數不會提高超過0.50,其中該殘留物包含鈷殘留物。
本發明提供一種組合物,其組分存在的量能從基材料例如,舉例來說,半導體基材,有效地移除殘留物。在涉及半導體基材的應用中,這樣的殘留物包括,舉例來說,光阻劑殘留物、灰化殘留物及蝕刻殘留物例如,舉例來說,反應性離子蝕刻造成的殘留物。再者,半導體基材也包括金屬、矽、矽酸鹽及/或層間介電材料例如經沉積的氧化矽,其也會與該清潔組合物接觸。典型的金屬包括銅、銅合金、鈦、氮化鈦、鉭、氮化鉭、鋁及/或鋁合金。本發明的清潔組合物能與這樣的材料相容,因為其顯示低的金屬及/或介電質蝕刻速率。特別是,提供4Å/min或更小、3Å/min或更小或2Å/min或更小的銅蝕刻速率的組合物可能較佳。注意開式單字,舉例來說,“具有”及“包含”的用途包括閉式及部分閉式單子 “由...組成”及“基本上由...組成”在內,就像那些閉式及部分閉式單字在本文任何使用開式單字之處被提及一樣。
本發明的清潔組合物包含水。在本發明中,水依照種種方式發生效用例如,舉例來說,溶解該組合物的一或更多固體組分,充當該等組分的載劑,充當移除該殘留物時的助劑,充當該組合物的黏度改質劑,及充當稀釋劑。較佳地,用於該清潔組合物中的水係去離子(Dl)水。
咸相信,對大部分應用而言,該清潔組合物能包含,舉例來說,約25至約80重量%的水。本發明的其他具體實施例可能包含35至約50重量%的水。本發明的其他較佳具體實施例可能包含約50至約80重量%的水。
氟離子
本發明的清潔組合物也包含一或更多氟離子源。氟離子作用主要在於協助從該基材移除無機殘留物。
提供根據本發明的氟離子源的較佳化合物包括氟化氫(HF)、氟化銨(NH4F)、二氟化氫銨(NH4HF2)、氟硼酸銨(NH4BF4)、三氟化硼(BF3)、氟硼酸(HBF4)、氫矽氟酸(H2SiF6)及氟化季銨例如,舉例來說,氟化四甲基銨及氟化四丁基銨。這些皆能單獨或依其中二或三者的混合物使用。
脂肪族一級、二級或三級胺的氟化物鹽也能用作該氟離子來源。這樣的胺類的實例係具有下式者: R1NR2R3R4 F其中R1、R2、R3及R4獨立地表示H或(C1-C4)烷基。通常,R1、R2、R3及R4中的碳原子總數係12個碳原子或更少。
在挑選該氟離子的來源時,理應考慮該來源是否會釋出可能不利地影響被清潔表面的離子。舉例來說,在清潔半導體元件時,該清潔組合物中出現鈉或鈣離子會對該元件的表面產生不利的效應。在一較佳具體實施例中,該氟離子源係氟化氫(HF)。
咸相信充當該清潔組合物中的氟離子源使用的化合物之量,對大部分應用而言,包含約0.01至約5重量%(若是在,舉例來說,水溶液中,以該活性物質為基準)。”以活性物質為基準”意欲意指用該氟離子來源提供給該清潔組合物的氟離子重量百分比。若,舉例來說,該用作氟離子來源的化合物係呈5%水溶液形式的HF,則較佳地本發明的組合物可能包含,舉例來說,約3至約20重量%,更佳地約5重量%至約15重量%而且,更佳地,約10至約15重量%的HF(5%)組分。若,當另一實例,該用作氟離子來源的化合物係氟化銨,則本發明的組合物可能包含約0.02至約15重量%,更佳地約0.02至約10重量%,又更佳地,約1至約8重量%,而且最佳地,約0.025重量%至約5重量%的約40%氟化銨溶液。
然而,咸應瞭解所用的氟離子量通常取決於被清潔的特定基材。舉例來說,在某些清潔應用中,當清潔包含對氟離子蝕刻具有高抵抗力的介電材料之基材時該氟離子的 量可能較高。相反地,在其他應用中,舉例來說,當清潔包含對氟離子蝕刻具有低抵抗力的介電材料之基材時,該氟離子的量應該較低。
H2SO4
本發明的清潔組合物也包含硫酸(H2SO4)。硫酸作用主要在於提高從該基材移除無機殘留物例如鈷殘留物的蝕刻速率,要不然據證實該鈷殘留物將難以即時方式移除而且不損害該裝置。該鈷殘留物的來源是,舉例來說,含鈷阻障擴散層。
本發明的組合物可能包含約0.01至約10重量%,更佳地約0.50至約8重量%,又更佳地,約1至約5重量%的硫酸。
pH/緩衝劑
本發明的清潔組合物較佳包括緩衝劑以將該組合物的pH大概控制於約7至約9的範圍內,而且較佳的pH範圍係介於約8至約9。應用緩衝作用係有益的,實在極其重要,因為有些應用顯示會造成清潔和基材蝕刻時的顯著且不宜的相異性之pH偏移。
用於本發明的緩衝劑通常包含弱酸及含有該弱酸的共軛鹼的可溶性鹽。舉例來說,該緩衝劑可包含弱有機單酸及其共軛鹼例如,舉例來說,醋酸及醋酸銨。在其他具體實施例中,該緩衝劑可能包含有機或無機鹼加上有機酸(較 佳為二元酸或三元酸)。適合的鹼之實例包括:氫氧化銨、胺類及氫氧化季銨鹽。在半導體應用中,較佳為該鹼不包括金屬離子,舉例來說,鈉和鉀,因為其傾向於污染該基材。在一些具體實施例中,本發明的組合物能不含鈉及鉀。較佳的鹼類是文中所述的胺化合物而且較佳的酸類是文中所述的有機酸。當依照足以形成緩衝劑的量存在時(亦即,當該酸對該鹼的莫耳比率係1:1至1:10時)該胺化合物和該有機酸化合物便一起發生緩衝劑的效用。
胺化合物(緩衝劑)
用作本發明一些較佳具體實施例中的緩衝劑組分的胺化合物實例包括該等烷醇胺。較佳的烷醇胺包括具有1至5個碳原子的一級、二級和三級低級烷醇胺類。這樣的烷醇胺之實例包括N-甲基乙醇胺(NMEA)、單乙醇胺(MEA)、二乙醇胺、單-、二-和三-異丙醇胺、2-(2-胺乙基胺基)乙醇、2-(2-胺基乙氧基)乙醇、三乙醇胺、N-乙基乙醇胺、N,N-二甲基乙醇胺、N,N-二乙基乙醇胺、N-甲基二乙醇胺、N-乙基二乙醇胺、環己基胺二乙醇及其混合物。
在較佳具體實施例中,該胺化合物係選自由三乙醇胺(TEA)、二乙醇胺、N-甲基二乙醇胺、二異丙醇胺、單乙醇胺、胺基(乙氧基)乙醇(AEE)、N-甲基乙醇胺、單異丙醇胺、環己基胺二乙醇及其混合物所組成的群組之烷醇胺。
咸相信該組合物中的胺化合物的量,對大部分應用而言,佔該組合物的約1重量%至約50重量%,明確地說, 該組合物的約8重量%至約50重量%,或更明確地說,該組合物的約20重量%至約50重量%。在一些具體實施例中,該胺化合物佔該組合物的約2重量%至約15重量%而且,更明確地說,約3至約12重量%或約3至約7重量%。
除了發生緩衝劑的鹼組分之效用以外,任何不會與酸反應的胺化合物也可起作用與有機殘留物反應並且在該清潔操作的期間螫合金屬。
有機酸(緩衝劑)
本發明的清潔組合物也包含一或更多有機酸,其發揮pH調節劑的功効而且,在一些具體實施例中,發揮緩衝劑組分的功効。
有機酸的實例可為脂肪族/芳香族羧酸類、胺基羧酸、磺酸類及胺基磺酸。示範的羧酸類包括,但不限於,醋酸、丙酸、丁酸、戊酸、3-甲基丁酸、己酸、庚酸、辛酸、壬酸、癸酸、十二酸、十三酸、十四酸、十五酸、十六酸、十七酸、十八酸、十二烷二酸、2-甲基庚酸、2-己基癸酸、草酸、丙二酸、順丁烯二酸、反丁烯二酸、丁二酸、衣康酸、戊二酸、己二酸、蘋果酸、酒石酸、丙烯酸、甲基丙烯酸、檸檬酸、乳酸、乙醇酸、抗壞血酸、鄰胺苯甲酸、沒食子酸、苯甲酸、鄰苯二甲酸、苯二甲酸、偏苯三甲酸、均苯四酸、水楊酸及2,4-二羧基苯甲酸等。示範的胺基羧酸類包括,但不限於,胺基醋酸、二羥基乙基胺基醋酸、丙胺酸、纈草胺酸、白胺酸、天冬醯胺酸、麩胺酸、天門冬酸、戊二酸、離胺酸、 精胺酸、亞胺基二醋酸、氮川三醋酸(nitrile triacetic acid)、伸乙二胺四醋酸、1,2-環己二胺四醋酸及二伸乙三胺五醋酸等。示範的磺酸類/胺基磺酸類包括,但不限於,苯甲基磺酸、對-甲苯磺酸、2-(N-嗎啉基)乙磺酸、N-(2-羥基乙基)六氫吡嗪-N'-(乙磺酸)、3-[N,N-雙(2-羥基乙基)胺基]-2-羥丙基磺酸、4-(N-嗎啉基)丁基磺酸、N-(2-羥基乙基)六氫吡嗪-N'-(2-羥基丙基磺酸)、N-(2-羥基乙基)六氫吡嗪-N'-(3-丙基磺酸)、2-(N-環己基胺基)乙磺酸及其混合物。
在較佳具體實施例中,該有機酸係選自由左旋抗壞血酸、草酸、丙二酸、檸檬酸、醋酸、亞胺基二醋酸、乳酸、對-甲苯磺酸、沒食子酸及其混合物所組成的群組。更佳的有機酸係左旋抗壞血酸。
咸相信該組合物中的有機酸的量,對大部分應用而言,佔該組合物的約0.5重量%至約25重量%或約1重量%至約15重量%。較佳地,該有機酸佔該組合物的約2重量%至約12重量%,較佳地約6至約10重量%,而且,更佳地,約2至約5重量%。
水可混溶性有機溶劑(任選的)
本發明的清潔組合物任意地包含一或更多水可混溶性有機溶劑。在本發明的某些具體實施例中,於該基材上的金屬線通常要求是否使用水可混溶性有機溶劑。舉例來說,當鋁線出現於基材上時,水和氟離子的組合通常將傾向於蝕刻鋁。在這樣的具體實施例中,若有鋁存在的話,使用 水可混溶性有機溶劑將會顯著降低,如果沒消除,鋁的蝕刻。
能使用的水可混溶性有機溶劑的實例係乙二醇、丙二醇、1,4-丁二醇、己二醇、二甲基亞碸、二甲基乙醯胺、四氫呋喃甲醇、甘油、醇類、亞碸類或其混合物。
較佳的水可混溶性溶劑包括丙二醇、甘油、二甲基乙醯胺、四氫呋喃甲醇、乙二醇、己二醇及其混合物。二甲基乙醯胺、丙二醇、甘油(PG)或其組合最佳。
咸相信,對大部分應用而言,該水可混溶性有機溶劑的量將佔該組合物的約10至90重量%或該組合物的約30至85重量%。在一些具體實施例中,該溶劑包含以該組合物的重量計為約50至約85重量%,最特別的是,約55重量%至約80重量%的水可混溶性有機溶劑。
在存有該水可混溶性溶劑的具體實施例中,該組合物中的水量可能明顯降至,舉例來說,約5重量%至約25重量%。
在本發明的組合物中,該水可混溶性有機溶劑主要作用在於溶解有機殘留物。
在本發明的一些具體實施例中,若使用的話,本發明的組合物的水可混溶性溶劑組分不包括醚溶劑。換句話說,在此特定具體實施例中,醚類沒被當作本發明的組合物的水可混溶性溶劑組分使用。(該等組合物係不含醚的組合物)。不欲受理論所束縛,咸相信在某些具體實施例中醚溶劑會損害該低-k層。特別是,咸相信醚溶劑會滲入該多孔性低-k介電層而難以從該低-k層移除並且提高介電常數。由此, 醚類溶劑會污染該多孔性低-k層並且不利地影響其絕緣能力。此外,醚溶劑會不利地影響並且提高該銅蝕刻速率。因此,使用時,本發明的組合物較佳不會使該低-k介電層的介電常數提高超過0.5而且該銅蝕刻速率不超過4Å/min。
腐蝕抑制劑
本發明的組合物任意包含至少一腐蝕抑制劑。腐蝕抑制劑適於與被清潔的基材表面反應,該基材表面可能是金屬,特別是銅,或非金屬,以使該表面鈍化並且預防清潔期間的過度蝕刻。特別是而且不欲受到任何特定理論束縛,咸相信該腐蝕抑制劑於該銅表面上形成不溶性螯合化合物的覆層,因此抑制該光阻劑殘留物移除組分與該金屬之間的接觸,從而預防腐蝕。
任何此技藝中關於類似應用,例如美國專利第5,417,877號所揭示者,在此以引用的方式將其併入本文,的已知腐蝕抑制劑皆可使用。當該組合物係用以清潔金屬基材時特佳為使用腐蝕抑制劑。腐蝕抑制劑的實例包括芳香族羥基化合物、炔屬醇類、含羧基的有機化合物和其酸酐及三唑化合物。
示範的芳香族羥基化合物包括酚、甲酚、二甲酚、焦性苯磷二酚、間苯二酚、對苯二酚、焦性沒食子酸、1,2,4-苯三醇、鄰羥基苯甲醇、對羥基苯甲醇、間羥基苯甲醇、對羥基苯乙醇、對胺基酚、間胺基酚、二胺基酚、胺基間苯二酚、對羥基苯甲酸、鄰羥基苯甲酸、2,4-二羥基苯甲酸、2,5- 二羥基苯甲酸、3,4-二羥基苯甲酸及3,5-二羥基苯甲酸。
示範的炔屬醇類包括2-丁炔-1,4-二醇、3,5-二甲基-1-己炔-3-醇、2-甲基-3-丁炔-2-醇、5甲基-1-戊炔-3-醇、3,6-二甲基-4-辛炔-3,6-二醇、2,4-7,9-四甲基-5-癸炔-4,7-二醇及2,5-二甲基-3-己炔-2,5-二醇。
示範的含羧基的有機化合物及其酸酐包括甲酸、醋酸、丙酸、丁酸、異丁酸、草酸、丙二酸、丁二酸、戊二酸、順丁烯二酸、反丁烯二酸、苯甲酸、苯二甲酸、1,2,3-苯三羧酸、乙醇酸、乳酸、順丁烯二酸、醋酸酐及水楊酸。
示範的三唑化合物包括苯并三唑、鄰甲苯基三唑、間甲苯基三唑、對甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯并三唑。
在一示範具體實施例中,該等腐蝕抑制劑包括苯并三唑、羥基苯并三唑、胺基-苯并三唑、右旋果糖、苯磷二酚、第三丁基苯磷二酚、左旋抗壞血酸、沒食子酸、香草醛、水楊酸、二乙基羥基胺及聚(乙烯亞胺)中的一或更多者。
較佳的銅腐蝕抑制劑係選自由苯并三唑、胺基-苯并三唑、左旋抗壞血酸、沒食子酸、香草醛、二乙基羥基胺及其混合物所組成的群組。
咸相信,該腐蝕抑制劑係三唑而且係苯并三唑、鄰甲苯基三唑、間甲苯基三唑及對甲苯基三唑中的至少一者。
咸相信,對大部分應用而言,該腐蝕抑制劑佔該組合物的約0.1重量%至約15重量%;較佳為佔該組合物的約0.1重量%至約10重量%,較佳地,約0.5重量%至約5重量 %,而且最佳地,約0.1重量%至約1重量%或約0.5重量%至約5重量%。
其他任意成分
本發明的清潔組合物也可包括以下添加物中的一或更多者:表面活性劑、螯合劑、化學改質劑、染料、生物殺滅劑及其他添加物。該(等)添加物可加到不會不利地影響該組合物的pH範圍的程度。
可用於該清潔組合物的另一任意成分係金屬螯合劑;其能擔任提高該組合物保有溶液中的金屬的能力之工作並且擔任增進金屬殘留物的溶解之工作。有用於此目的的螫合劑之典型實例係以下的有機酸及其異構物和鹽類:伸乙二胺四醋酸(EDTA)、丁二胺四醋酸、(1,2-環己二胺)四醋酸(CyDTA)、二伸乙三胺五醋酸(DETPA)、伸乙二胺四丙酸、(羥乙基)伸乙二胺三醋酸(HEDTA)、N,N,N',N'-伸乙二胺四(亞甲基膦)酸(EDTMP)、三伸乙四胺六醋酸(TTHA)、1,3-二胺基-2-羥丙烷-N,N,N',N'-四醋酸(DHPTA)、甲基亞胺基二醋酸、伸丙二胺四醋酸、硝基三醋酸(NTA)、檸檬酸、酒石酸、葡萄糖醛酸、糖酸、甘油酸、草酸、苯二甲酸、順丁烯二酸、苯乙醇酸、丙二酸、乳酸、水楊酸、苯磷二酚、沒食子酸、沒食子酸丙酯、焦性沒食子酸、8-羥基喹啉及半胱胺酸。較佳的螯合劑係胺基羧酸類例如EDTA、CyDTA及胺基膦酸類例如EDTMP。
咸相信,對大部分應用而言,該螫合劑將會依照 該組合物的約0.1重量%至約10重量%的量,較佳地依照該組合物的約0.5重量%至約5重量%的量存在於該組合物中。
其他眾所周知的組分例如染料、生物殺滅劑等等均能依照慣用量,舉例來說,總量達該組合物的約5重量%的量,包含於該清潔組合物中。
在一示範具體實施例中,本發明的清潔組合物包含以活性物質為基準,約25重量%至約80重量%的水;約0.01重量%至約5重量%的氟離子來源;約0.01重量%至約10重量%的硫酸;約1重量%至約50重量%的烷醇胺;約1重量%至約25重量%的有機酸,其中該組合物的pH係7至9。
在另一示範具體實施例中,本發明的清潔組合物包含以活性物質為基準,約50重量%至約80重量%的水;約9.5重量%至約15重量%的氟離子來源;約2.0重量%至約8重量%的硫酸;約25重量%至約30重量%的烷醇胺;約0.8重量%至約2.0重量%的有機酸,其中該組合物的pH係7至9。
在另一示範具體實施例中,本發明的清潔組合物包含:約50重量%至約80重量%的水;約20重量%至約30重量%的至少一烷醇胺;約5重量%至約15重量%的HF;約0.5重量%至約2重量%的左旋抗壞血酸;及約1.5重量%至約8重量%的硫酸;及約0.1%的三唑,該三唑係選自由苯并三唑、鄰一甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯并三唑所組成的群組,其中該組合物的pH係7至9。
在另一示範具體實施例中,本發明的清潔組合物 包含:約50重量%至約80重量%的水;以活性物質為基準,約9.5重量%至約15重量%的氟離子來源;約2.0重量%至約8重量%的硫酸;約25重量%至約30重量%的烷醇胺;約0.8重量%至約2.0重量%的有機酸,其中該組合物的pH係7至9。
在另一示範具體實施例中,本發明的清潔組合物包含:約5重量%至約25重量%的水;約10重量%至約45重量%的水可混溶性有機溶劑,該水可混溶性有機溶劑係選自由丙二醇、甘油、二甲基乙醯胺、四氫呋喃甲醇、乙二醇、己二醇及其混合物所組成的群組;約20重量%至約30重量%的至少一烷醇胺;約5重量%至約15重量%的HF;約0.5重量%至約2重量%的有機酸,該有機酸係選自由左旋抗壞血酸、草酸、丙二酸、檸檬酸、醋酸、亞胺基二醋酸、乳酸、對-甲苯磺酸、沒食子酸及其混合物所組成的群組;約1.5重量%至約8重量%的硫酸;及約0.1%的三唑,該三唑係選自由苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯并三唑所組成的群組,其中該組合物具有約7至約9的pH。
當暴露於包含多孔性介電材料的基材時,本發明的清潔組合物不會實質上改變該多孔性介電材料的介電常數。關此,當暴露於包含多孔性介電材料的基材時,本發明的清潔組合物較佳不會使材料的介電常數提高超過0.35而且,較佳地,不超過0.25。
本發明的清潔組合物通常於容器中於室溫下將該等組分混在一起直到所有固體均溶於水性媒介而製備。
本發明的清潔組合物能用以從基材移除不欲的殘留物,包括包含鈷的殘留物。咸相信該組合物特別好的優點是能用於清潔半導體裝置製造過程中有殘留物沉積或形成於其上的半導體基材;此殘留物的實例包括依照膜(正性和負性二者)之形態的阻劑組合物和乾式蝕刻期間形成的蝕刻沉積物,以及經化學降解的阻劑膜。當待移除的殘留物係阻劑膜及/或於表面露出金屬膜的半導體基材上的蝕刻沉積物時使用該組合物特別有效。能利用不會攻擊基材本身之本發明的組合物清潔的基材之實例包括金屬基材,舉例來說:鋁/鈦/鎢;鋁/矽;鋁/矽/銅;氧化矽;氮化矽;及鎵/砷化物。這樣的基材通常包括含光阻劑及/或蝕刻後沉積物的殘留物。
除了當用以移除阻劑膜及/或表面露出金屬膜的半導體晶圓上的蝕刻殘留物時有效以外,當該金屬膜係由銅或含銅的銅合金當主要組分製成時還有當低介電膜當層間絕緣膜使用時該清潔組合物尤其有效。含有銅當主要組分的銅合金之實例係含有90重量%或更多銅及其他元素,舉例來說,Sn、Ag、Mg、Ni、Co、Ti、Si和Al,的銅合金。因為這些金屬具有低阻抗並且改良元件的高速操作,但是易於被化學藥品溶解或腐蝕,所以本發明的組合物的"非腐蝕"性質係重要的。
該清潔組合物能用於較低溫度及只有少許的腐蝕性效應下從半導體基材移除蝕刻和灰化後殘留物、其他有機和無機殘留物以及聚合性殘留物。本發明的清潔組合物在移除含鈷的蝕刻殘留物時特別有效。該清潔組合物理應施敷 於該表面經歷一段時期以充分獲得預期的清潔效果。該時間隨著眾多因子而變化,包括,舉例來說,該殘留物的本質、該清潔組合物的溫度及使用的特定清潔組合物。一般,該清潔組合物能藉由下列方式應用,舉例來說,於約25℃至約85℃的溫度下接觸該基材經歷介於約1分鐘至約1小時的時期,緊接著從該基材沖洗掉該清潔組合物並且乾燥該基材。
該接觸步驟能藉由任何適合手段完成例如,舉例來說,沉浸、噴灑或經由單晶圓程序;任何利用用於移除光阻劑、灰分或蝕刻沉積物及/或污染物的液體之方法均能使用。
該沖洗步驟係藉由任何適合手段完成,舉例來說,藉由沉浸或噴灑技術利用去離子水沖洗該基材。在一些具體實施例中,該沖洗步驟係運用去離子水與水可混溶性有機溶劑例如,舉例來說,異丙醇,的混合物完成。
該乾燥步驟係藉由任何適合手段完成,舉例來說,異丙醇(IPA)蒸氣乾燥或藉由向心力。
熟悉此技藝者將會明白本發明的清潔組合物可經改質以達成最佳的清潔而不會損壞該基材,以致於該製程能保持高處理量的清潔。舉例來說,熟悉此技藝者將會明白,舉例來說,一些或所有組分的量之變更可依據被清潔的基材之組成、待移除的殘留物之本質及所用的特定程序參數完成。
在本發明的另一具體實施例中提供用於本發明的清潔組合物之補給組合物。因為該清潔組合物係用以清潔半導體基材,所以該清潔組合物將隨著與該清潔組合物接觸的各基材從盛裝該清潔組合物的容器或浴中被部分移除。該 清潔組合物通常係用以清潔連續地及/或同時地及/或連續地並同時地(在多批基材與該清潔組合物接觸之後緊接著一或多批的案例中)清潔多數基材,並且接著以一分新鮮的清潔組合物更換。使該等基材與該清潔組合物藉由下列方式接觸,舉例來說,以該清潔組合物噴灑該一或更多基材及/或把該一或更多基材浸入含有該清潔組合物的浴中。用以清潔該等基材的設備可為舉例來說,噴灑溶劑設備、濕製程機台或單晶圓機台。此外,該方法通常另外包含在接觸該一或更多基材之前及/或當與時將該清潔組合物從周遭溫加熱至,舉例來說,介於約25至85℃或約25至約45℃的步驟。該清潔組合物的加熱造成該清潔組合物的組分汽化。各組分的汽化速率通常為各組分的沸點之函數,從而造成該清潔組合物中的組分比率隨時間改變。已經確定關於本發明的清潔組合物,等到清潔組合物已經清潔過一組基材之後或經過測量該清潔組合物的變數特徵之後一段時期或之時將補給組合物加於清潔組合物可能有益處,該變數特徵與該清潔組合物中的組分比率變化有關。可測量並且用以測定是否是將該補給組合物加於該清潔組合物的時刻之變數的實例包括:該組合物的pH或清潔效率或基材蝕刻速率或該浴中的清潔組合物濃度。
該方法可另外包含以下步驟:從該半導體基材沖洗掉該清潔組合物;及乾燥該半導體基材,其中該半導體基材包含具有一介電常數的多孔性介電材料;而且其中經過前述的接觸、沖洗和乾燥步驟之後該多孔性介電材料的介電常數不會提高超過0.50,或不會提高超過0.25。
用以製成該等清潔組合物的較佳組分也同樣是用於上述用於該清潔組合物的補給組合物的較佳組分。該等補給組合物包含相同組分,但是通常比添加該等補給組合物的清潔組合物的組分更少而且通常該清潔組合物中的組分比率與該補給組合物中的組分比率相異。準確的較佳組分及該補給組合物中的組分比率將會是由於各特定組分在該接觸步驟完成時隨著該基材移除(例如從該浴)或由於各特定組分相對於其他組分汽化從該組合物汽化而損失各特定組分的速率之函數。
在一具體實施例中,藉由週期性地加於該浴,舉例來說,經由噴嘴,而將該補給組合物加於該清潔組合物。液位感測器可用以測定多少補給組合物加於該浴。該補給組合物可在藉由使各基材或各批多數基材與該清潔組合物接觸而清潔各基材或各批多數基材之後添加。然而,等到藉由該清潔組合物處理一組基材之後,整個浴可能必需要拋棄該清潔組合物並且以新鮮的清潔組合物來更換,而且能重複進行該接觸和添加的程序。關於將該補給組合物加於該清潔組合物及更換整個清潔組合物的間隔依據該清潔組合物的溫度、待清潔的基材及殘留物而變化並且可由熟悉此技藝者測定。儘管本發明主要關聯清潔半導體基材做描述,但是本發明的清潔組合物能用以清潔任何包括有機和無機殘留物的基材。
實施例
下列實施例係為了達成進一步舉例說明本發明 的目的而提供但是絕非意欲限制本發明。
用於製備該等清潔組合物的一般程序
所有身為目前實施例的實驗材料之組合物均藉由將100g的材料混入含有塗覆1"鐵氟龍的攪拌子之150mL燒杯中製備而成。將組成列於以下表中。
該基材的組成
用於目前的實施例之各基材包含用於結晶性矽晶圓上進行蝕刻速率評估的金屬層(銅或鈷)。晶圓樣品係從完整的晶圓切成約1” x 1”的大小而且金屬層的初始厚度係運用ResMap四點探針(Creative Design Englneering股份有限公司Cupertino,CA,USA)來測量。
加工條件
清潔試驗利用約100mL的清潔組合物於用調設於500rpm的1”圓形鐵氟龍攪拌子之150mL燒杯中進行。必要的話將該等清潔組合物加熱至底下加熱板指示的預期溫度。在以下的條件組合之下將大小約1” x 1”的晶圓片段浸漬於該等組合物中:於35℃下經過0、15及60分鐘。
接著於去離子水溢流浴中沖洗該等片段經歷3分鐘而且接下來使用經過濾的氮來乾燥。接著使用Resmap四點探針(Creative Design Engineering股份有限公司,Cupertino, CA,USA)來分析該等片段的厚度變化。
蝕刻速率測量程序
空白銅或空白鈷晶圓的樣品係藉由運用來自Creative Design Englneering股份有限公司的ResMapTM 273型電阻率儀器測量金屬層的電阻率來測量金屬層厚度。接著將該等樣品浸於預定溫度下的組合物中達於1小時。從該組合物週期性地移除該等樣品,以去離子水沖洗並且乾燥,而且再度測量該金屬層的厚度。畫出把厚度變化當沉浸時間的函數之圖形(沒顯示)而且從曲線的斜率求得以埃/分鐘表示的蝕刻速率。
膜損失量測量程序
1.在化學沉浸以前測量厚度。
2.沉浸試驗係35℃下於利有磁攪拌攪動(500rpm)的燒杯中進行。
3.化學沉浸之後緊接著3分鐘去離子水沖洗及N2吹乾。
4.等到化學沉浸之後測量厚度。
5.蝕刻速率=(步驟1-步驟4)/沉浸時間
下表指出被測試的組合物的組分、各組分的質量及膜損失速率。
前述實施例及較佳具體實施例的描述理應視為舉例說明,而非當作由申請專利範圍來界定的發明之限制。能輕易明白的是,上述特徵的眾多變化和組合均能利用而不會悖離申請專利範圍所述的發明內容。這樣的變化不得視為悖離本發明的精神和範疇,而且意欲將所有這樣的變化均涵括於以下申請專利範圍的範疇以內。

Claims (24)

  1. 一種有用於從半導體基材移除殘留物的組合物,該組合物包含:約25重量%至約80重量%的水;以活性物質為基準,約0.01重量%至約5重量%的氟離子來源;約0.01重量%至約10重量%的硫酸;約1重量%至約50重量%的烷醇胺;約0.5重量%至約25重量%的有機酸,其中該組合物的pH係7至9。
  2. 如申請專利範圍第1項之組合物,其另外包含約0.1重量%至約15重量%的選自由苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯并三唑所組成的群組之銅腐蝕抑制劑。
  3. 如申請專利範圍第1至2項中任一項之組合物,其另外包含選自由丙二醇、甘油、二甲基乙醯胺、四氫呋喃甲醇、乙二醇、己二醇及其混合物所組成的群組之水可混溶性有機溶劑。
  4. 如申請專利範圍第3項之組合物,其中該水可混溶性有機溶劑係二甲基乙醯胺。
  5. 如申請專利範圍第3項之組合物,其中該水可混溶性有機溶劑係丙二醇。
  6. 如申請專利範圍第3項之組合物,其中該水可混溶性有機溶劑係甘油。
  7. 如申請專利範圍第1至6項中任一項之組合物,其中該氟離子來源係選自由氟化氫(HF)、氟化銨(NH4F)、二氟化氫銨(NH4HF2)、氟硼酸銨(NH4BF4)、三氟化硼(BF3)、氟硼酸(HBF4)、氫矽氟酸(H2SiF6)及氟化季銨所組成的群組。
  8. 如申請專利範圍第7項之組合物,其中該氟離子來源係氟化銨。
  9. 如申請專利範圍第7項之組合物,其中該氟離子來源係HF。
  10. 如申請專利範圍第7項之組合物,其中該氟離子來源係選自由氟化四甲基銨及氟化四丁基銨所組成的群組之季銨化合物。
  11. 如申請專利範圍第1至10項中任一項之組合物,其中該烷醇胺係選自由N-甲基乙醇胺(NMEA)、單乙醇胺(MEA)、二乙醇胺、單-、二-和三-異丙醇胺、2-(2-胺乙基胺基)乙醇、2-(2-胺基乙氧基)乙醇、三乙醇胺、N-乙基乙醇胺、N,N-二 甲基乙醇胺、N,N-二乙基乙醇胺、N-甲基二乙醇胺、N-乙基二乙醇胺、環己基胺二乙醇及其混合物所組成的群組。
  12. 如申請專利範圍第11項之組合物,其中該烷醇胺係單乙醇胺。
  13. 如申請專利範圍第11項之組合物,其中該烷醇胺係三乙醇胺。
  14. 如申請專利範圍第1至13項中任一項之組合物,其中該有機酸係選自由左旋抗壞血酸、草酸、丙二酸、檸檬酸、醋酸、亞胺基二醋酸、乳酸、對-甲苯磺酸、沒食子酸及其混合物所組成的群組。
  15. 如申請專利範圍第14項之組合物,其中該有機酸係左旋抗壞血酸。
  16. 如申請專利範圍第1項之組合物,其中該組合物包含:以活性物質為基準,約9.5重量%至約15重量%的氟離子來源;約2.0重量%至約8重量%的硫酸;約25重量%至約30重量%的烷醇胺;約0.8重量%至約2.0重量%的有機酸,及剩下部分的水。
  17. 一種組合物,其包含:約20重量%至約30重量%的至少一烷醇胺;約5重量%至約15重量%的HF;約0.5重量%至約2重量%的左旋抗壞血酸;及約1.5重量%至約8重量%的硫酸;約0.1%的選自由苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯并三唑所組成的群組之三唑;剩下部分的水,其中該組合物具有約7至約9的pH。
  18. 如申請專利範圍第17項之組合物,其中該三唑係苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑及對-甲苯基三唑中的至少一者。
  19. 一種從半導體基材移除殘留物之方法,該方法包含以下步驟:使該半導體基材與如申請專利範圍第1至18項中任一項之清潔組合物接觸,其中該半導體基材包含具有一介電常數的多孔性介電材料;從該半導體基材沖洗掉該清潔組合物;及乾燥該半導體基材,其中該多孔性介電材料的介電常數不會提高超過0.50,其中該殘留物包含鈷殘留物。
  20. 如申請專利範圍第19項之方法,其中該清潔組合物提供4Å/min或更小的銅蝕刻速率。
  21. 一種組合物,其包含:約5重量%至約25重量%的水;約10重量%至約45重量%的選自由丙二醇、甘油、二甲基乙醯胺、四氫呋喃甲醇、乙二醇、己二醇及其混合物所組成的群組之水可混溶性有機溶劑;約20重量%至約30重量%的至少一烷醇胺;約5重量%至約15重量%的HF;約0.5重量%至約2重量%的選自由左旋抗壞血酸、草酸、丙二酸、檸檬酸、醋酸、亞胺基二醋酸、乳酸、對-甲苯磺酸、沒食子酸及其混合物所組成的群組之有機酸;約1.5重量%至約8重量%的硫酸;及約0.1%的選自由苯并三唑、鄰-甲苯基三唑、間-甲苯基三唑、對-甲苯基三唑、羧基苯并三唑、1-羥基苯并三唑、硝基苯并三唑及二羥基丙基苯并三唑所組成的群組之三唑,其中該組合物具有約7至約9的pH。
  22. 如申請專利範圍第21項之組合物,其中該水可混溶性有機溶劑係二甲基乙醯胺。
  23. 如申請專利範圍第21項之組合物,其中該水可混溶性有機溶劑係丙二醇。
  24. 如申請專利範圍第21項之組合物,其中該水可混溶性有機溶劑係甘油。
TW105110403A 2015-03-31 2016-03-31 清潔配方 TWI647337B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562140751P 2015-03-31 2015-03-31
US62/140,751 2015-03-31

Publications (2)

Publication Number Publication Date
TW201634756A true TW201634756A (zh) 2016-10-01
TWI647337B TWI647337B (zh) 2019-01-11

Family

ID=57006315

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105110403A TWI647337B (zh) 2015-03-31 2016-03-31 清潔配方

Country Status (7)

Country Link
US (1) US10647950B2 (zh)
JP (1) JP6612891B2 (zh)
KR (1) KR102040667B1 (zh)
CN (2) CN113214920A (zh)
SG (1) SG11201707787SA (zh)
TW (1) TWI647337B (zh)
WO (1) WO2016161072A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI698921B (zh) * 2016-12-02 2020-07-11 日商愛發科股份有限公司 配線基板之加工方法
TWI798185B (zh) * 2016-12-15 2023-04-11 台灣積體電路製造股份有限公司 微影圖案化的方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7330972B2 (ja) * 2017-12-08 2023-08-22 ビーエーエスエフ ソシエタス・ヨーロピア 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
WO2019187868A1 (ja) * 2018-03-30 2019-10-03 富士フイルム株式会社 処理液
US20200032412A1 (en) * 2018-07-25 2020-01-30 The Boeing Company Compositions and Methods for Activating Titanium Substrates
CN109852977B (zh) * 2019-03-11 2024-02-02 上海新阳半导体材料股份有限公司 一种锡球生产工艺、清洗剂及其制备方法
EP3999621A4 (en) * 2019-07-15 2023-08-16 Versum Materials US, LLC COMPOSITIONS FOR REMOVING ETCH RESIDUES, METHODS OF USE THEREOF AND USE THEREOF
WO2021126340A1 (en) * 2019-12-20 2021-06-24 Versum Materials Us, Llc Co/cu selective wet etchant
CA3083522A1 (en) * 2020-06-12 2021-12-12 Fluid Energy Group Ltd. Process to manufacture novel inhibited hydrofluoric acid composition
KR20220058069A (ko) * 2020-10-30 2022-05-09 주식회사 이엔에프테크놀로지 세정제 조성물 및 이를 이용한 세정방법
KR20220061628A (ko) * 2020-11-06 2022-05-13 주식회사 케이씨텍 연마 입자 용해용 조성물 및 이를 이용한 세정 방법
WO2023177541A1 (en) * 2022-03-15 2023-09-21 Entegris, Inc. Microelectronic device cleaning composition

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
AU2003225178A1 (en) * 2002-04-24 2003-11-10 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
KR100536593B1 (ko) * 2002-12-05 2005-12-14 삼성전자주식회사 선택적인 막 제거를 위한 세정 용액 및 그 세정 용액을사용하여 실리사이드 공정에서 막을 선택적으로 제거하는방법
SG129274A1 (en) * 2003-02-19 2007-02-26 Mitsubishi Gas Chemical Co Cleaaning solution and cleaning process using the solution
JP2004277576A (ja) 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
TW200505975A (en) * 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
CN1918698B (zh) 2004-02-09 2010-04-07 三菱化学株式会社 半导体装置用基板的洗涤液及洗涤方法
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
EP1628336B1 (en) * 2004-08-18 2012-01-04 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid and cleaning method
JP4810928B2 (ja) * 2004-08-18 2011-11-09 三菱瓦斯化学株式会社 洗浄液および洗浄法。
EP1701218A3 (en) 2005-03-11 2008-10-15 Rohm and Haas Electronic Materials LLC Polymer remover
KR20080023346A (ko) 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
TWI339780B (en) 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
TW200722505A (en) 2005-09-30 2007-06-16 Rohm & Haas Elect Mat Stripper
US20090301996A1 (en) 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
JP4642001B2 (ja) * 2006-10-24 2011-03-02 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液組成物
US7879783B2 (en) * 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
TW200918664A (en) * 2007-06-13 2009-05-01 Advanced Tech Materials Wafer reclamation compositions and methods
WO2009058278A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
CN101883688A (zh) * 2007-11-16 2010-11-10 Ekc技术公司 用来从半导体基板除去金属硬掩模蚀刻残余物的组合物
US20100105595A1 (en) 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
US8877640B2 (en) * 2010-07-06 2014-11-04 United Microelectronics Corporation Cleaning solution and damascene process using the same
JP2013533631A (ja) * 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
US8889609B2 (en) * 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
JP5839226B2 (ja) 2011-11-08 2016-01-06 ナガセケムテックス株式会社 レジスト残渣除去組成物
KR20150036307A (ko) * 2012-07-19 2015-04-07 닛산 가가쿠 고교 가부시키 가이샤 반도체용 세정액 및 이것을 이용한 세정방법
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations
US10233413B2 (en) * 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI698921B (zh) * 2016-12-02 2020-07-11 日商愛發科股份有限公司 配線基板之加工方法
US11510320B2 (en) 2016-12-02 2022-11-22 Ulvac, Inc. Method of processing wiring substrate
TWI798185B (zh) * 2016-12-15 2023-04-11 台灣積體電路製造股份有限公司 微影圖案化的方法
US11822238B2 (en) 2016-12-15 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition

Also Published As

Publication number Publication date
KR20170130597A (ko) 2017-11-28
KR102040667B1 (ko) 2019-11-27
WO2016161072A1 (en) 2016-10-06
CN107406810A (zh) 2017-11-28
US10647950B2 (en) 2020-05-12
TWI647337B (zh) 2019-01-11
SG11201707787SA (en) 2017-10-30
JP2018511946A (ja) 2018-04-26
CN113214920A (zh) 2021-08-06
JP6612891B2 (ja) 2019-11-27
US20180105774A1 (en) 2018-04-19

Similar Documents

Publication Publication Date Title
JP6546080B2 (ja) クリーニング用組成物
TWI647337B (zh) 清潔配方
JP6577446B2 (ja) エッチング組成物及びその使用方法
KR100857865B1 (ko) 세정 제제
JP4909908B2 (ja) 銅とlow−k誘電材料を有する基板からレジスト、エッチング残渣、及び酸化銅を除去する方法
TWI454573B (zh) 清潔配方及該清潔配方的使用方法
TWI626305B (zh) 清潔配方
JP4634718B2 (ja) エッチング残留物を除去するための非腐食性洗浄組成物
US11091727B2 (en) Post etch residue cleaning compositions and methods of using the same
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법