JP2004055781A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2004055781A
JP2004055781A JP2002210467A JP2002210467A JP2004055781A JP 2004055781 A JP2004055781 A JP 2004055781A JP 2002210467 A JP2002210467 A JP 2002210467A JP 2002210467 A JP2002210467 A JP 2002210467A JP 2004055781 A JP2004055781 A JP 2004055781A
Authority
JP
Japan
Prior art keywords
mask
film
forming
forming layer
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002210467A
Other languages
English (en)
Inventor
Ryuichi Kanemura
金村 龍一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2002210467A priority Critical patent/JP2004055781A/ja
Priority to US10/489,709 priority patent/US6946385B2/en
Priority to PCT/JP2003/008406 priority patent/WO2004010495A1/ja
Priority to TW092118876A priority patent/TWI242247B/zh
Publication of JP2004055781A publication Critical patent/JP2004055781A/ja
Priority to US11/097,137 priority patent/US7119007B2/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】良好な形状のデュアルダマシン構造の多層配線構造を低誘電率層間膜構造内に有する半導体装置の製造方法を提供する。
【解決手段】本方法は、下層配線4上に、第一の絶縁膜6、第二の絶縁膜7、及び第一のマスク形成層8を成膜する工程と、上部配線の配線溝の反転パターンを有する第一のレジストマスク20を形成する工程と、第一のレジストマスクを使って第一のマスク形成層をエッチングし、上部配線の配線溝の反転パターンからなる凹部8aを第一のマスク形成層に形成し、第一のマスク形成層上に第二のマスク形成層21を成膜して凹部を第二のマスク形成層で埋め込む工程と、配線溝形成領域上の第二のマスク形成層を選択的に除去し、配線溝パターンを有する第二のマスクを形成する工程と、第一のマスク形成層上に、接続孔の開口パターンを有する第二のレジストマスク12を形成する工程と、第二のレジストマスク上から第一のマスク形成層及び第二の絶縁膜をエッチングして接続孔を開口する工程とを有し、以下、従来の方法と同様にしてデュアルダマシン構造を形成する。
【選択図】    図1

Description

【0001】
【発明の属する技術分野】
本発明は、低誘電率層間絶縁膜内にデュアルダマシン構造の多層配線構造を有する半導体装置の製造方法に関し、更に詳細には、良好な形状のデュアルダマシン構造の配線構造を備えた半導体装置の製造方法に関するものである。
【0002】
【従来の技術】
半導体装置の微細化、高集積化に伴い、配線の時定数に起因する電気信号の遅れが深刻な問題となっている。そこで、多層配線構造で用いられる導電層には、アルミニウム(Al)系合金の配線に代わり、低電気抵抗の銅(Cu)配線が導入されるようになっている。
Cuは、従来の多層配線構造に使われているAlなどの金属材料とは異なって、ドライエッチングによるパターニングが困難なため、絶縁膜に配線溝を形成し、配線溝にCuを埋め込むことにより配線パターンを形成するダマシン法が一般にCu多層配線構造に適用されている。特に、特願平10−143914号公報などに開示されているデュアルダマシン法は、接続孔と配線溝とを形成した上で、Cu埋め込みを接続孔と配線溝とに同時に行う方法であって、工程数の削減に有効であることから注目されている。
【0003】
また、高集積半導体装置では、配線容量の増大が半導体装置の動作速度の低下を招くために、低誘電率膜を層間絶縁膜に用いて配線容量の増大を抑制した微細な多層配線が不可欠となっている。
低誘電率層間絶縁膜の材料として、従来から比較的使用実績のある比誘電率3.5程度のフッ素含有酸化シリコン(FSG)に加えて、ポリアリールエーテル(PAE)に代表される有機シリコン系のポリマーや、ハイドロゲンシルセキオサン(HSQ)、メチルシルセスキオキサン(MSQ)に代表される無機系材料などの比誘電率2.7前後の低誘電率膜が挙げられる。更に、近年では、それらを多孔質化させて比誘電率を2.2前後とした低誘電率材料の適用も試みられている。
【0004】
デュアルダマシン法を低誘電率層間絶縁膜に適用する場合、以下の技術的な制約を解決することが必要である。
第1には、低誘電率膜の組成がパターニングに用いられるレジストの組成に近いために、レジスト除去プロセスの際に低誘電率膜も損傷を受け易いことが挙げられる。具体的には、レジストマスクを用いてエッチングを行った後のレジスト剥離処理や、処理済みのレジストパターンが製品規格を満たさない場合のレジスト再生処理などを行う際、低誘電率膜に対する損傷を抑制できることが不可欠である。
【0005】
第2には、配線と接続孔との間で合わせ余裕を持たないボーダレス構造への適用が可能なことである。
半導体装置の微細化に伴い、0.18μm世代以降の多層配線では、ボーダレス構造に対応出来る加工プロセスを採用することが大前提となっている。従って、低誘電率膜を含む層間絶縁膜にデュアルダマシン法による配線溝と接続孔の同時形成を行う場合でも、合わせずれによるヴィア抵抗の変動が少ないプロセスであることが重要である。
【0006】
第3には、配線溝を深さ制御性良く形成するには、配線溝の底部近くにエッチング阻止膜を介在させることが望ましいものの、比誘電率の比較的高いエッチング阻止膜を層間絶縁膜内に介在させると、層間容量が増加することになる。
従って、配線溝の形成を制御しつつ、しかも容量増加を抑えることが出来る低誘電率膜層間構造のデュアルダマシンプロセスが要求されている。
【0007】
上述したような技術的な制約を解決できるデュアルダマシン法として、例えば特開2000−150519号公報、或いは特開2001−44189号公報に開示の技術がある。
ここで、図9から図11を参照して、特開2001−44189号公報に開示されている低誘電率層間膜に対するデュアルダマシン法の適用例を説明する。図9(a)と(b)、図10(c)から(e)、及び図11(f)と(g)は、それぞれ、従来の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
先ず、図9(a)に示すように、図示しない基板に堆積された下地絶縁膜1上に有機膜2と酸化シリコン(SiO2 )膜3からなる積層膜を層間絶縁膜として成膜し、次いで層間絶縁膜に銅(Cu)膜の埋め込み配線4を形成する。
Cu埋め込み配線4上に、順次、Cu膜の酸化防止層として炭化シリコン膜(SiC)5を、メチルシルセスキオキサン(MSQ)膜として炭素含有酸化シリコン(SiOC)膜6を、有機膜としてポリアリールエーテル(PAE)膜7を成膜する。
続いて、第一のマスク形成層として酸化シリコン(SiO2 )膜8を、第二のマスク形成層として窒化シリコン(SiN)膜9を順次成膜し、更に配線溝パターンを有するレジストマスク10をSiN膜9上に形成する。
【0008】
次いで、図9(b)に示すように、レジストマスク10を用いたドライエッチング法によりSiN膜9をエッチングし、配線溝パターンを有するSiN膜からなる第二のマスク11を形成し、続いてレジストマスク10を除去する。
次に、接続孔パターンのレジストパターンが、配線溝パターンを有するSiN膜からなる第二のマスク11に少なくとも一部が重なるように、接続孔パターンを有するレジストマスク12を第二のマスク11及びSiO2 膜8上に形成する。
【0009】
図10(c)に示すように、接続孔パターンを有するレジストマスク12を用いてドライエッチング法により、SiN膜からなる第二のマスク11と第一のマスク形成層のSiO2 膜8をエッチングして開口し、続いてPAE膜7をエッチングしてSiOC膜6を露出する接続孔13を開口する。ここで、レジストマスク12は、PAE膜7のエッチング処理で同時に除去することが出来る
また、PAE膜7の開口中にレジストマスク12は薄くなって行くが、SiO2 膜8からなる第一のマスク8Aが存在するので、第一のマスク8Aをマスクにして良好な開口形状の接続孔13を開口することが出来る。
【0010】
次に、図10(d)に示すように、更にSiOC膜6をエッチングして接続孔13をSiC膜5まで掘り下げて接続孔14を開口する。接続孔14の開口と共に、配線溝形成領域に残存し、第一のマスク8Aを形成するSiO2 膜8は、配線溝パターンを有するSiN膜からなる第二のマスク11をマスクとするエッチングにより、同時に除去され、開口部15となる。
図10(e)に示すように、開口部15の底部に残存するPAE膜7をエッチングして配線溝16とし、接続孔14の底部にあるSiC膜5をエッチングして接続孔14をCu埋め込み配線4に連通させることにより、所定のデュアルダマシン加工、つまり配線溝16と接続孔14の形成が完了する。
尚、配線溝形成領域外に残存するSiN膜からなる第二のマスク11は、接続孔14底部のSiC膜5をエッチングする過程で除去される。
【0011】
続いて、薬液を用いた後処理、及びRFスパッタリング処理により、配線溝16や接続孔14の側壁に残留するエッチング付着物を除去し、接続孔14底部のCu変質層を正常化した後、図11(f)に示すように、バリアメタルとしてTa膜17をスパッタリング法により成膜し、電解めっき法あるいはスパッタリング法によりCu膜18を堆積して、配線溝16と接続孔14に導電膜の埋め込みを行う。
【0012】
次いで、図11(g)に示すように、堆積したTa膜17及びCu膜18のうち、配線パターンとして不要な部分を化学機械研磨(CMP)法により除去する。これにより、デュアルダマシン構造の多層配線構造を得ることができる。
更に、下層のCu埋め込み配線4と同様、酸化防止層として例えばSiC膜19をデュアルダマシン配線18上に成膜する。
【0013】
低誘電率層間膜構造に対して上述した2層エッチングマスクを用いたデュアルダマシン法の適用は、前述した技術的な制約事項を克服した製造方法となっている。
すなわち、製品規格に適合しないレジストマスク10、12の再生処理は、第一のマスク形成層9又は第二のマスク形成層8上で行うことができ、接続孔開口のためのレジストマスク12の除去は、PAE膜7のエッチングして接続孔13を開口させる工程で同時に行うことが可能であるから、低誘電率膜の損傷を抑制しつつレジスト剥離を行うことができる。
また、配線溝パターンを有するSiN膜からなる第二のマスク11上から接続孔13(接続孔14)を開口するので、配線溝16と接続孔14との合わせずれが発生した場合でも、接続孔14の寸法が変動することがない。
更には、SiOC膜6上に成膜されるPAE膜7に配線溝16を形成する際、無機系MSQ膜(SiOC膜6)と有機ポリマー膜(PAE膜7)の組み合わせであるから、エッチング選択比を確保することが容易である。従って比誘電率の高いSiN膜等のエッチング阻止膜を介在させなくても、配線溝16の深さ制御が容易である。
【0014】
【発明が解決しようとする課題】
しかし、上述の従来のデュアルダマシン法を更に微細な0. 1μm世代以降の多層配線に適用する場合、次に示すような問題点がある。
第1には、第二のマスク形成層、即ちSiN膜9の膜厚が厚くなることである。第二のマスク11は、接続孔層間膜のSiOC膜(MSQ膜)6をエッチングして接続孔14を開口し、かつ配線溝形成領域に開口部15を開口するために用いられるので、ある程度の厚さが必要である。例えば、第二のマスク11にSiN膜9を用いて、接続孔層間膜膜である膜厚400nmのSiOC膜6を開口する場合、配線溝上方の広がりや肩落ちを抑制するためには、膜厚100〜150nmのSiN膜9がエッチング選択比上から必要となる。第二のマスク形成層の膜厚が厚くなると、以下に示す第2の問題が生じる。
【0015】
第2には、レジストマスク12を段差上に形成することが多くなるので、微細なパターンを高精度で形成することが難しいことである。
第二のマスク形成層であるSiN膜9を加工して配線溝パターンを有する第二のマスク11を形成する工程では、図9(b)に示すように、第一のマスク形成層であるSiO2 膜8に対するエッチング選択比(SiN/SiO2 )が2〜3程度しか確保出来ないので、SiN膜9のオーバーエッチング時に下地SiO2 膜8の掘れ量が30nm前後発生することが多い。このため、接続孔パターンを有するレジストマスク12を130〜180nmの段差上に形成することが強いられる。
ところが、200nm弱の局所段差越しに0. 10μm世代以降の微細なレジストパターンを形成するのは、平坦部に形成する場合に比べて、レジスト膜のすそ引き発生や線幅制御の観点より、非常に難度の高い工程となる。
【0016】
第3には、リソグラフィー工程で一般的に用いられる塗布系の反射防止膜(BARC)を併用する場合、第二のマスク11のパターン寸法や疎密具合により、BARCの埋め込み形状が変化するため、焦点深度ばらつき増大による露光処理時のレジスト形状悪化や、BARC膜エッチングによる接続孔開口時の第二のマスク11の形状悪化を招き易いことである。
【0017】
第4には、上述した従来のデュアルダマシン法では、レジストマスク12による接続孔13のパターニングの前に、レジストマスク10による配線溝パターンのパターニングを実施しているために、配線溝16と接続孔14のマスクアライメントが間接合わせとなり、先に接続孔パターンを形成する通常のプロセスに比べて、結果として上層配線と接続孔の合わせずれ量が増大してしまうという欠点がある。
【0018】
これらの問題を解決する手段として、例えば特開2000−150519号公報に開示されているように、第二のマスクを金属膜で形成して、接続孔層間膜のMSQ膜に対するエッチング選択比を上げることにより、第二のマスクの薄膜化を行い、レジストパターニング段差を低減する方法が考えられる。
ところが、金属膜はマスクアライメントに用いる波長領域(200〜1000nm)の光を殆ど透過することが出来ないため、全面成膜してしまうと、その後の露光工程で、通常の波長域の光を用いたアライメントや画像処理を用いたアライメントを行うことが出来なくなるという問題がある。
【0019】
そこで、本発明の目的は、PAE膜、及びMSQ膜等を用いた低誘電率層間絶縁膜内にデュアルダマシン構造を形成する際、レジストパターニング工程に対する負荷を低減し、良好なデュアルダマシン加工形状を得ることにより、高性能且つ高歩留まりで、高信頼性の多層配線構造を有する半導体装置の製造方法を提供することである。
【0020】
【課題を解決するための手段】
上記目的を達成するために、本発明に係る半導体装置の製造方法は、有機絶縁膜を含む層間絶縁膜を備えた半導体装置の製造方法において、
層間絶縁膜上に層間絶縁膜をエッチングするエッチングマスクとして第一のマスクを形成する工程と、
層間絶縁膜を加工する第二のエッチングマスクとして第一のマスクとは異なる材料で第一のマスク中に局所的に埋め込まれた第二のマスクを形成する工程と
を備えていることを特徴としている。
【0021】
上述の発明方法は、本発明の技術的核となる構成要件を示している。上記目的を達成するために、具体的には、本発明に係る半導体装置の製造方法(第1の発明方法と言う)は、(イ)半導体基板上に設けられた下層配線と連通する接続孔を貫通させる絶縁膜として第一の絶縁膜を下層配線上に成膜し、次いで上部配線の埋め込み配線を形成する配線間絶縁膜として第二の絶縁膜を第一の絶縁膜上に成膜する工程と、
(ロ)第一のマスク形成層を第二の絶縁膜上に成膜する工程と、
(ハ)第一のマスク形成層上に上部配線の配線溝の反転パターンを有する第一のレジストマスクを形成する工程と、
(ニ)第一のレジストマスクを使って第一のマスク形成層をエッチングし、上部配線の配線溝の反転パターンからなる凹部を第一のマスク形成層に形成し、次いで第一のマスク形成層上に第二のマスク形成層を成膜して凹部を第二のマスク形成層で埋め込む工程と、
(ホ)次いで配線溝形成領域上の第二のマスク形成層を選択的に除去し、第一のマスク形成層の凹部に第二のマスク形成層を残留させてなる配線溝パターンを有する第二のマスクを形成する工程と、
(ヘ)第二のマスク及び第一のマスク形成層上に、接続孔の開口パターンを有する第二のレジストマスクを形成する工程と、
(ト)第二のレジストマスクをエッチングマスクとして第二のマスク、第一のマスク形成層及び第二の絶縁膜をエッチングして接続孔を開口する工程と、
(チ)第二のマスクをエッチングマスクとして第一のマスク形成層をエッチングして、第一のマスク形成層に配線溝を形成するとともに、第二の絶縁膜をマスクにして第一の絶縁膜をエッチングして接続孔を完成する工程と、
(リ)第一のマスク及び第二のマスクの少なくともいずれかを用いて第二の絶縁膜をエッチングして、第二の絶縁膜に配線溝を形成する工程と、
(ヌ)第二のマスクを除去する工程と
を有することを特徴としている。
【0022】
第1の発明方法では、工程(ニ)で第一のマスク形成層上に第二のマスク形成層を成膜して凹部を第二のマスク形成層で埋め込み、次いで工程(ホ)で配線溝形成領域上の第二のマスク形成層を選択的に除去し、第一のマスク形成層の凹部に第二のマスク形成層を残留させてなる配線溝パターンを有する第二のマスクを形成している。
これにより、接続孔の開口パターンを有する第二のレジストマスクを形成する際に、下地層が平坦であるから、通常のリソグラフィ特性で精度の高いパターンを有する第二のレジストマスクを形成することができる。
【0023】
本発明方法の好適な実施態様の工程(イ)では、第一の絶縁膜としてメチルシルセスキオキサン膜を成膜し、第二の絶縁膜として有機膜を成膜する。また、工程(ロ)では、第一のマスク形成層として絶縁膜を成膜し、工程(ニ)では、第二のマスク形成層として金属膜を成膜する。更には、工程(ニ)では、第二のマスク形成層として、第二のマスクを使って反応性イオンエッチング法により選択的に第一のマスクを加工出来る材料層を成膜する。また、工程(ホ)で配線溝形成領域上の第二のマスク形成層を選択的に除去する際には、配線溝形成領域の第二のマスク形成層を化学機械研磨(CMP)法により選択的に除去する。
【0024】
上記目的を達成するために、本発明に係る別の半導体装置の製造方法(第2の発明方法と言う)は、(イ)半導体基板上に設けられた下層配線と連通する接続孔を貫通させる絶縁膜として第一の絶縁膜を下層配線上に成膜し、次いで上部配線の埋め込み配線を形成する配線間絶縁膜として第二の絶縁膜を第一の絶縁膜上に成膜する工程と、
(ロ)第一のマスク形成層を第二の絶縁膜上に成膜し、第一のマスク形成層上に第二のマスク形成層を成膜する工程と、
(ハ)配線溝の開口パターンを有するレジストマスクを第二のマスク形成層上に形成する工程と、
(ニ)レジストマスクの配線溝の開口パターンを介して第二のマスク形成層を選択的に改質して第二のマスク形成層に配線溝の開口パターンと同じパターンの改質領域を有する第二のマスクを第二のマスク形成層に形成する工程と、
(ホ)接続孔の開口パターンを有するエッチングマスクを第二のマスク形成層上に形成する工程と、
(ヘ)エッチングマスクを用いて、第二のマスク、第二のマスク形成層、及び第一のマスク形成層をエッチングして、第二のマスク形成層及び第一のマスク形成層を貫通する第一のマスクを形成し、更に第一のマスクをエッチングマスクとして第二の絶縁膜をエッチングして接続孔を開口する工程と、
(ト)第二のマスクを用いてエッチングし、第二のマスクの改質領域及び第一のマスク形成層に配線溝を形成するとともに、第二の絶縁膜をマスクにして第一の絶縁膜に接続孔を開口する工程と、
(チ)第一のマスク及び第二のマスクの少なくともいずれかを用いたエッチングにより、第二の絶縁膜に配線溝を形成する工程と、
(リ)少なくとも第二のマスクを除去する工程と
を有することを特徴としている。
【0025】
第2の発明方法では、工程(ニ)でレジストマスクの配線溝の開口パターンを介して第二のマスク形成層を選択的に改質して第二のマスク形成層に配線溝の開口パターンと同じパターンの改質領域を有する第二のマスクを第二のマスク形成層に形成している。
これにより、工程(ホ)で接続孔の開口パターンを有するエッチングマスクを形成する際に、下地層が平坦であるから、通常のリソグラフィ特性で精度の高いパターンを有するエッチングマスクを形成することができる。
【0026】
本発明方法の好適な実施態様の工程(イ)では、第一の絶縁膜としてメチルシルセスキオキサン膜を成膜し、第二の絶縁膜として有機膜を成膜する。また、工程(ロ)では、第二のマスク形成層として、第二のマスクを使って反応性イオンエッチング法により選択的に第一のマスクを形成できる材料層を成膜する。
また、工程(ロ)では、第二のマスク形成層として炭化シリコン(SiC)膜を成膜し、工程(ニ)では、酸素イオン注入法を用いて、配線溝領域の第二のマスク形成層の改質処理を行う。または、工程(ロ)では、第二のマスク形成層として、非晶質シリコン(a−Si)膜を成膜し、工程(ニ)では、酸素イオン注入法を用いて、配線溝領域の第二のマスク形成層の改質処理を行う。
【0027】
本発明方法の好適な実施態様では、工程(ニ)の第二のマスク形成層を改質させる処理として、第二のマスクを用いた反応性イオンエッチング法により、第二のマスク形成層の改質領域を選択的に除去できる処理を施す。又は、工程(ニ)では、イオン注入法により第二のマスク形成層を選択的に改質させる。
【0028】
【発明の実施の形態】
以下に、添付図面を参照して、実施形態例に基づいて本発明をより詳細に説明する。尚、以下の実施形態例で示した膜種、膜厚、成膜方法、その他寸法等は、本発明の理解を容易にするための例示であって、本発明はこれら例示に限定されるものではない。
実施形態例1
本実施形態例は、第1の発明方法に係る半導体装置の製造方法の実施形態の一例である。図1(a)から(c)、図2(d)から(f)、及び図3(g)と(h)は、それぞれ、本実施形態例の方法により半導体基板上にデュアルダマシン構造を形成する際の各工程の断面図である。図1から図3に示す部位のうち図9から図12に示す部位と同じものには、理解を容易にするために同じ符号を付している。これは、以下の図4から図8でも同じである。
先ず、図1(a)に示すように、図示しない基板に堆積された下地絶縁膜1上に、有機膜2と酸化シリコン(SiO2 )膜3からなる積層膜を層間絶縁膜として成膜し、次いで250nmの配線厚となるように、銅(Cu)膜の埋め込み配線4を形成する。
続いて、Cu配線4上に酸化防止層として膜厚50nmの炭化シリコン(SiC)膜5を成膜し、更に接続孔を貫通させる層間絶縁膜として、400nm厚の炭素含有酸化シリコン(SiOC)膜6を成膜する。
【0029】
SiC膜5及びSiOC膜6を成膜する際には、一例として、平行平板型プラズマCVD装置を用い、その際シリコン源として使用するガスは、どちらの膜にもメチルシランである。
また、成膜条件として、基板温度を300〜400℃、プラズマパワーを150〜350W、成膜雰囲気の圧力を100〜1000Pa程度に設定する。
以上の成膜条件により、比誘電率が、それぞれ、5. 0、及び3. 0程度のSiC膜5及びSiOC膜6を成膜することが出来る。
【0030】
次に、SiOC膜6上に、比誘電率2. 6程度の有機ポリマー膜を成膜する。本実施形態例では、有機ポリマー膜としてポリアリールエーテル(PAE)膜7を200nm成膜する。有機ポリマー膜は、前駆体をスピンコート法により堆積した後、400℃〜450℃のキュア処理を行って成膜することが出来る。
PAE膜の他には、BCB膜、ポリイミド膜、アモルファスカーボン膜などを用いることもできる。
次いで、膜厚150nmのSiO2 膜8を第一のマスク形成層として成膜し、SiO2 膜8上に配線溝の反転パターンをレジストパターンとしてを有するレジストマスク20を形成する。続いて、反転パターンを有するレジストマスク20を用いて、ドライエッチング法により、SiO2 膜8を途中までエッチングして開口部8aを形成する。
【0031】
SiO2 膜8は、例えばシリコン源としてモノシラン(SiH4 )を用い、酸化剤として一酸化二窒素(N2 O)ガスを用いたプラズマCVD法により成膜することが出来る。
また、SiO2 膜8を形成する際に、下層のPAE膜7の酸化が問題となる場合には、化学量論よりシリコンが多い酸化シリコン膜を形成することが好ましい。
【0032】
レジストマスク20を用いてSiO2 膜8をエッチングする際には、一般的なマグネトロン方式のエッチング装置にて、例えばエッチングガスとしてオクタフルオロブタン(C4 8 )、一酸化炭素(CO)、及びアルゴン(Ar)を用い、ガス流量比(C4 8 :CO:Ar)を1:5:20、バイアスパワーを1200W、基板温度を20℃に設定し、SiO2 膜8を100nm深さまでエッチングして、深さ100nmの開口部8aを形成する。
開口部8aを形成するSiO2 膜8のエッチング量は、有機膜(PAE膜)7を露出させない範囲で、第二のマスクの形成可能な膜厚以上に設定する必要があるので、30nm以上200nm以下とするのが好ましい。
SiO2 膜8をエッチングした後、例えば酸素(O2 )プラズマをベースとしたアッシング処理と有機アミン系の薬液処理を施すことにより、レジストマスク20及びエッチング処理での残留付着物を完全に除去する。
【0033】
次に、図1(b)に示すように、第二のマスク形成層として、例えば150nm厚のタンタル(Ta)膜21を開口部8aを含めてSiO2 膜8上に成膜する。
Ta膜21を成膜するには、市販のマグネトロンスパッタリング装置を用いて、Taターゲットを用いた指向性スパッタリング法により行う。
【0034】
第二のマスク形成層の膜種としては、窒化タンタル(TaN)膜等のタンタル系合金膜、チタン(Ti)膜、チタン系合金膜、タングステン(W)膜、タングステン合金膜、又はそれらの積層膜などでも良く、エッチングマスクとして選択比を確保出来るならば、炭化シリコン(SiC)膜や窒化シリコン(SiN)膜などの絶縁膜を用いることも可能である。
【0035】
第二のマスク形成層の成膜に際しては、配線溝の反転パターンの開口部8aに段差被覆性(ステップカバレッジ)良く第二のマスク形成層を成膜する必要があるので、自己放電イオン化スパッタリングや遠距離スパッタリング等の指向性スパッタリング法か、又は化学気相成長(CVD)法による堆積が好ましい。
また、成膜厚さは、SiO2 膜8に形成した開口部8aの深さ、つまり100nm以上とすることが好ましい。
【0036】
続いて、例えば化学機械研磨(CMP)法により、SiO2 膜8上の配線溝を形成する領域、即ち開口部8a同士の間の領域に堆積されたTa膜21を選択的に除去して、図1(c)に示すように、開口部8aにTa埋め込み層22を形成する。Ta埋め込み層22は、第二のマスク11を構成する。
CMPによるTa膜21の選択的除去では、一般的なCMP条件を用いることができ、スラリーには例えばアルミナを主材料にしたものを用いる。また、本実施形態例では、Ta膜21の研磨とともに、配線溝形成領域に露出するSiO2 膜も50nm研磨するCMP条件で研磨処理を行い、配線溝の反転パターン領域である開口部8aに、最終的に厚さ100nm前後のTa膜21からなるTa埋め込み層22を第2のマスク11として形成する。
本実施形態例の別法として、Ta膜21の成膜厚さを100nmとし、SiO2 膜8を全く研磨しないCMP条件でTaの選択的除去を行うことも可能であるが、パターン寸法やパターン疎密に対して、開口部8aに埋め込まれるTa膜22の残膜ばらつきが少ない条件であることが好ましい。
【0037】
次に、図1(c)に示すように、第二のマスク11を構成するTa埋め込み層22に少なくとも一部が重なるように、接続孔パターンを有するレジストマスク12をSiO2 膜8及びTa埋め込み層22上に形成する。
【0038】
Ta埋め込み層22のCMP研磨により、レジストマスク12の形成面には段差がほとんど発生しないので、通常の平坦部にパターニングする場合と同等のリソグラフィー特性で、良好な接続孔のレジストパターン形状を有するレジストマスク12を形成することができる。
また、塗布系の反射防止膜(BARC)を併用する場合でも、第二のマスクパターンを構成するTa埋め込み層22の寸法や疎密具合により、BARCの埋め込み形状変化による膜厚変動が起こることは無く、露光処理時のレジスト形状悪化や、寸法変動の原因となる焦点深度ばらつきを低減することが出来る。
【0039】
続いて、図2(d)に示すように、接続孔パターンを有するレジストマスク12を用いて、ドライエッチング法により、接続孔開口部に残存するTa埋め込み層22及びSiO2 膜8をエッチングし、続いてSiO2 膜8を第一のマスクとしてPAE膜7をエッチングして、SiOC膜6を露出させる接続孔13を開口する。尚、レジストマスク12は、PAE膜7をエッチング加工する際に、同時に除去することが出来る。
エッチングされたPAE膜7は、後述するように、SiOC膜6のエッチングマスクとして、接続孔パターンを有するマスクを構成する。
【0040】
Ta埋め込み層22のエッチングでは、一般的なマグネトロン方式のエッチング装置を用い、例えばオクタフルオロブタン(C4 8 )、及び酸素(O2 )をエッチングガスとして用いる。SiO2 膜8の接続孔開口は、例えばエッチングガスとしてオクタフルオロブタン(C4 8 )、一酸化炭素(CO)、及びアルゴン(Ar)を用いるドライエッチング法により行う。
【0041】
また、PAE膜7の接続孔開口は、通常の高密度プラズマエッチング装置を用い、エッチングガスとして例えばアンモニア(NH3 )を用い、RFパワーを150W、基板温度を20℃に設定する。
このエッチング条件下で、レジストマスク12のエッチングレートはPAE膜7のそれとほぼ同等であるから、PAE膜7の開口中にレジストマスク12は減肉して行くが、第二のマスクであるTa埋め込み層22、又は第一のマスクであるSiO2 膜8がエッチングマスクとして機能して、良好な接続孔の開口形状を得ることが出来る。
因みに、PAE膜7のエッチング条件下で、Ta膜、SiO2 膜、SiOC膜に対するエッチング選択比は100以上になる。
【0042】
次に、図2(e)に示すように、配線溝パターンを有するる第二のマスク11を構成するTa埋め込み層22を用いて、ドライエッチング法により、第一のマスクとなるSiO2 膜8に配線溝パターン15を形成する。
SiO2 膜8のエッチングと同時に、接続孔パターンが開口されたPAE膜7をマスクとして、SiOC膜6に接続孔14を開口する。
【0043】
SiO2 膜8及びSiOC膜6のエッチングでは、例えば一般的なマグネトロン方式のエッチング装置を使用して、例えばエッチングガスとしてのオクタフルオロシクロペンテン(C5 8 )、一酸化炭素(CO)、アルゴン(Ar)、及び酸素(O2 )を用い、ガス流量比(C5 8 :CO:Ar:O2 )を1:10:5:1、バイアスパワーを1600W、及び基板温度を20℃に設定する。
このエッチング条件下で、第二のマスク11を構成するTa埋め込み層22に対するエッチング選択比(SiOC, SiO/Ta)は、20以上になるので、上記エッチング処理に対し十分な余裕を持って配線溝上方の広がりや肩落ちを抑制した良好な開口形状を得ることが出来る。
【0044】
続いて、図2(f)に示すように、配線溝底部に残存するPAE膜7をエッチングして、配線溝16を開口し、接続孔底部にあるSiC膜5をエッチングすることにより、接続孔14をCu埋め込み層4に連通した所定のデュアルダマシン加工が完了する。
【0045】
配線溝16を開口するPAE膜7のエッチングは、通常の高密度プラズマエッチング装置を使用して、エッチングガスには例えばアンモニア(NH3 )を用い、RFパワーを150W、及び基板温度を20℃に設定する。このエッチング条件下のSiOC膜6、Ta膜22に対するエッチング選択比は、100以上になるので、深さばらつき無く、制御性良く配線溝の開口を行うことが出来る。
【0046】
接続孔14の底部にあるSiC膜5のエッチングでは、例えば一般的なマグネトロン方式のエッチング装置にて、例えばエッチングガスとしてジフルオルメタン(CH2 2 )、酸素(O2 )、及びアルゴン(Ar)を用い、ガス流量比(CH2 2 :O2 :Ar)を2:1:5、バイアスパワーを100Wに設定する。
【0047】
但し、上記エッチング条件ではSiOC膜6に対する選択比は1前後であるため、配線溝16の底部のSiOC膜6の膜掘れが問題になるならば、PAE膜7への配線溝開口前に、SiC膜5のエッチングを行うことも出来る。
尚、配線溝形成領域外に残存するTa埋め込み層22は、接続孔14底部のSiC膜5をエッチングする過程で除去することができるが、この工程で除去出来なくても、その後に埋め込まれるCu膜とTa膜のCMP工程で容易に除去することが可能である。
【0048】
薬液を用いた後処理、及びRFスパッタリング処理により、配線溝16や接続孔14の側壁に残留するエッチング付着物を除去し、接続孔14底部のCu変質層を正常のCu層に転化した後、図3(g)に示すように、例えばバリアメタルとしてTa膜17をスパッタリング法により成膜し、Cu膜18を電解めっき法あるいはスパッタリング法により堆積し、配線溝16と接続孔14への導電膜の埋め込みを同時に行う。
【0049】
更に、図3(h)に示すように、堆積したTa膜17、Cu膜18のうち、配線パターンとして不要な部分を化学機械研磨(CMP)法により除去することにより、デュアルダマシン構造の多層配線構造を形成することができる。
本実施形態例では、最終的な上層配線の膜厚は例えば250nm程度となるよう調整される。また、下層配線パターンと同様、酸化防止層として例えばSiC膜19をデュアルダマシン配線18上に成膜する。
【0050】
本実施形態例では、接続孔パターンを有するレジストマスク12を形成する際、下層のTa埋め込み層22からなる第二のマスク11に局所段差が発生せず、選択的に埋め込み形成された第二のマスクTa膜22が、SiOC膜6の接続孔14開口時に高選択比を有したエッチング処理を可能にするので、微細寸法の接続孔開口を配線溝の形状悪化無く安定して形成することができる。
これにより、本実施形態例により形成されたデュアルダマシン構造の多層配線は、良好なヴィアコンタント特性を得ることが出来る。
従って、実施形態例の方法によれば、低誘電率層間絶縁膜内にデュアルダマシン構造を有する半導体装置を高い歩留まりで製造することができる。
【0051】
更には、200〜1000nmの波長領域の光をほとんど透過しない金属膜をマスクとして使用する場合の下地パターンとのマスクアライメントに関しても、本実施形態例では金属膜であるTa21を成膜する前に、レジストマスク20により配線溝の反転パターンをパターニングしているので、金属膜マスクの採用は問題とはならない。
同様に、その後の接続孔パターニングにおいても、必要なパターンにのみTa埋め込み層22を形成することができるので、アライメントパターンやアライメント計測用パターンに金属膜を残さないように設計しておけば、下層配線4とのマスクアライメントも容易に行うことが出来る。
【0052】
実施形態例2
図4(a)から(c)、図5(d)から(f)、及び図6(g)と(h)は、それぞれ、本実施形態例の方法でデュアルダマシン構造を形成する際の各工程の断面図である。
実施形態例1と同様に、図4(a)に示すように、図示しない基板に堆積された下地絶縁膜1上に、有機膜2と酸化シリコン(SiO2 )膜3とからなる積層膜を層間絶縁膜にして、銅(Cu)膜の埋め込み配線4を形成する。
Cu配線4上に酸化防止層として膜厚50nmの炭化シリコン(SiC)膜5を成膜し、続いて、400nm厚の炭素含有酸化シリコン(SiOC)膜6、及び比誘電率2. 6程度の有機ポリマーとして膜厚200nmのポリアリールエーテル(PAE)膜7を成膜する。
更に、第一のマスク形成層として150nm厚のSiO2 膜8をPAE膜7上に成膜する。
【0053】
次に、本実施形態例では、第二のマスク形成層として、例えば100nm厚の炭化シリコン(SiC)膜23を成膜する。
第二のマスク形成層として成膜するSiC膜23は、平行平板型プラズマCVD装置を用い、シリコン源原料ガスには、メチルシランを使用する。また、成膜条件として、基板温度を300〜400℃、プラズマパワーを150〜350W、及び成膜雰囲気の圧力を100〜1000Pa程度に設定する。
次いで、配線溝パターンを有するレジストマスク10をSiC膜23上に形成する。
【0054】
続いて、レジストマスク10を用いて、図4(b)に示すように、配線溝形成領域のSiC膜23に対してイオン注入により選択的に改質処理を施してSiOC領域25に転化させる。SiOC領域25の間には非改質のSiC膜23が残留して、第二のマスク11を形成する。
イオン注入に際しては、一般的なイオン注入装置を用い、例えば注入イオンとして酸素イオン(O+ )を加速電圧50keV、注入ドーズ量2×1018イオン/cm2 のイオン注入条件で注入する。
このイオン注入により、配線溝形成領域の100nm厚のSiC膜23は、完全にSiOC化してSiOC改質領域25に転化する。また、必要に応じて、注入後に400℃以下の熱処理を行ってもよい。
【0055】
配線溝形成領域のSiC膜23の改質後、例えば酸素(O2 )プラズマをベースとしたアッシング処理と、レジスト溶解性を有する薬液処理とを施すことにより、レジストマスク10を完全に除去することが出来る。
絶縁化処理による第二のマスク形成の別法として、例えば第二のマスク形成層として窒化シリコン(SiN)膜を成膜し、酸素イオン(O+ )注入により配線溝形成領域をSiON化することにより、配線溝パターンを有する第二のマスクを形成しても良い。
【0056】
次に、図4(c)に示すように、配線溝パターンを構成する非改質SiC膜23に少なくとも一部が重なるように、接続孔パターンを有するレジストパターン12をSiO2 膜8及びSiC膜23上に形成する。
本実施形態例では、第二のマスクを構成するSiC膜23の配線溝パターンには段差が殆ど生じないので、通常の平坦部にパターニングする場合と同等のリソグラフィー特性で、良好な接続孔のレジストパターン形状を得ることが可能となる。
また、塗布系の反射防止膜(BARC)を併用する場合でも、第二のマスクの配線パターンの寸法や疎密具合により、BARCの埋め込み形状変化による膜厚変動が起こることは無く、露光処理時のレジスト形状悪化や、寸法変動の原因となる焦点深度ばらつきを低減できる。
【0057】
次に、図5(d)に示すように、接続孔パターンを有するレジストマスク12を用いて、ドライエッチング法により、接続孔開口部に残存するSiC膜23、SiOC改質領域25、及び第一のマスク形成層のSiO2 膜8をエッチングし、更にSiO2 膜8を第一のマスクとしてPAE膜7をエッチングして接続孔13を開口する。
尚、レジストマスク12は、PAE膜7のエッチング処理で同時に除去される。
【0058】
接続孔開口部に残存するSiC膜23のエッチングは、例えば一般的なマグネトロン方式のエッチング装置を使用して、例えばエッチングガスとしてジフルオルメタン(CH2 2 )、酸素(O2 )、及びアルゴン(Ar)を用い、ガス流量比(CH2 2 :O2 :Ar)を2:1:5、及びバイアスパワーを100Wに設定する。
このエッチング条件下では、SiOC改質領域25に対するSiC膜23の選択比は1前後であるから、SiOC改質領域25も、SiC膜23と共に同時にエッチングにより除去される。
尚、第一のマスクとなるSiO2 膜8の接続孔開口、及びPAE膜7の接続孔開口は、実施形態例1のSiO2 膜8及びPAE膜7のエッチングと同様に行う。
【0059】
次に、図5(e)に示すように、配線溝パターンを有する第二のマスク11を構成するSiC膜23を用いて、ドライエッチング法により、SiOC改質領域25及び第一のマスクとなるSiO2 膜8をエッチングして配線溝パターン15を形成する。更に、配線溝パターン15の形成と同時に、接続孔パターンが開口されたPAE膜7をマスクとして、SiOC膜6をエッチングしてSiC膜5を露出する接続孔14を開口する。
【0060】
このエッチングは、例えば一般的なマグネトロン方式のエッチング装置を使用し、例えばエッチングガスとしてのオクタフルオロシクロペンテン(C5 8 )、一酸化炭素(CO)、アルゴン(Ar)、及び酸素(O2 )を用い、ガス流量比(C5 8 :CO:Ar:O2 )を1:10:5:1、バイアスパワーを1600W、及び基板温度を20℃に設定する。
このエッチング条件下のSiC膜23に対するエッチング選択比(SiOC、SiO2 /SiC)は15以上であるから、上述のエッチング処理では、十分な余裕を持って配線溝パターン15の上方の広がりや肩落ちを抑制した良好な開口形状の配線溝パターン15を形成することが出来る。
【0061】
その後、図5(f)に示すように、配線溝パターン15の底部に残存するPAE膜7をエッチングして配線溝16を形成し、接続孔14底部にあるSiC膜5をエッチングして、接続孔14をCu埋め込み層4に連通させて、所定のデュアルダマシン加工を完了する。
PAE膜7の配線溝16及び接続孔14底部にあるSiC膜5のエッチングは、実施形態例1と同様に行う。第二のマスク11を構成する残存SiC膜23は、接続孔14底部のSiC膜5をエッチングする過程で同時に除去される。
【0062】
続いて、図6(g)に示すように、実施形態例1と同様にして、配線溝16や接続孔14の側壁に残留するエッチング付着物を除去し、接続孔14により露出するCu変質層を正常化した後、Ta膜17を成膜し、Cu膜18を電解めっき法あるいはスパッタリング法により堆積し、配線溝16と接続孔14へ導電膜の埋め込みを行う。
更に、図7(h)に示すように、堆積したTa膜17、Cu膜18のうち、配線パターンとして不要な部分を化学機械研磨(CMP)法により除去し、Cu膜18上にSiC膜19を成膜することにより、デュアルダマシン構造の多層配線構造を得ることができる。
【0063】
本工程を経て形成されたデュアルダマシン構造の多層配線は、実施形態例1の効果と同様に、接続孔パターンを有するのレジストマスク12を形成する際、下地のSiC膜23及びSiOC改質領域25に局所段差が発生しない。また、選択的に埋め込み形成され、第二のマスク11を構成するSiC膜23が、SiOC膜6の接続孔14の開口時に高選択比を有したエッチング処理を可能にするので、微細寸法の接続孔開口を配線溝の形状悪化無く安定して形成することができる。
これにより、良好なヴィアコンタント特性を得ることが出来る。また、本実施形態例の方法を適用することにより、低誘電率層間絶縁膜内にデュアルダマシン構造を有する半導体装置を高い歩留まりで製造することができる。
【0064】
実施形態例3
図7(a)から(c)、及び図8(d)から(f)は、それぞれ、本実施形態例の方法でデュアルダマシン構造を形成する際の各工程の断面図である。
実施形態例1と同様に、図7(a)に示すように、図示しない基板に堆積された下地絶縁膜1上に、例えば有機膜2と酸化シリコン(SiO2 )膜3からなる積層膜を層間絶縁膜として銅(Cu)膜の埋め込み配線4を形成する。
Cu配線4上に酸化防止層として膜厚50nmの炭化シリコン(SiC)膜5を成膜し、続いて、400nm厚の炭素含有酸化シリコン(SiOC)膜6及び比誘電率2. 6程度の有機ポリマーとして膜厚200nmのポリアリールエーテル(PAE)膜7を成膜する。
続いて第一のマスク形成層として150nm厚のSiO2 膜8を成膜する。
【0065】
次に、本実施形態例では、第二のマスク形成層として、例えばアルゴンプラズを用いたシリコンターゲットのスパッタリング法により50nm厚のアモルファスシリコン膜(a−Si)膜27を成膜する。
更に、配線溝パターンを有するレジストマスク10をa−Si膜27上に形成する。
【0066】
続いて、レジストマスク10を用いて、図7(b)に示すように、配線溝形成領域のa−Si膜27に対してイオン注入により選択的に改質処理を施して、非改質の残留a−Si膜27の間にSiO2 改質領域28を形成する。
イオン注入に際し、本実施形態例では、一般的なイオン注入装置を使用し、例えば注入イオンとして酸素イオン(O+ )を加速電圧20keV、注入ドーズ量2×1018イオン/cm2 でイオン注入する。
このイオン注入により、50nm厚のa−Si膜27は完全にSiO2 化してSiO2 膜領域28に転化させることができる。また、必要に応じて注入後に400℃以下の熱処理を行ってもよい。
【0067】
また、配線溝形成領域のa−Si膜改質後は、例えば酸素(O2 )プラズマをベースとしたアッシング処理とレジスト溶解性を有する薬液処理を施すことにより、レジストマスク10を完全に除去することが出来る。
絶縁化処理による第二のマスク形成の別法として、例えばa−Si膜27に対する炭素イオン(C+ )注入によるSiC化や、窒素イオン(N+ )注入によるSiN化処理を適用することも可能であるが、下地の第一のマスク形成層に近い組成の改質化を行った方が、その後の加工処理を行い易い。
【0068】
次に、図7(c)に示すように、第二のマスク11である配線溝パターンのa−Si残留領域27に少なくとも一部が重なるように、接続孔パターンを有するレジストマスク12を形成する。
第二のマスク11であるa−Si残留領域27の配線溝パターンでは段差がほとんど発生しないので、通常の平坦部にパターニングする場合と同等のリソグラフィー特性にて、良好な接続孔のレジストパターン形状を得ることが可能となる。
また、塗布系の反射防止膜(BARC)を併用する場合でも、第二のマスク111のパターン(a−Si残留領域27)の寸法や疎密具合により、BARCの埋め込み形状変化による膜厚変動が起こることは無く、露光処理時のレジスト形状悪化や、寸法変動の原因となる焦点深度ばらつきを低減できる。
【0069】
図8(d)に示すように、接続孔パターンのレジストマスク12を用いて、ドライエッチング法により、接続孔開口部に残存する第二のマスクのa−Si膜27、SiO2 改質領域28、及び第一のマスク形成層のSiO2 膜8をエッチングして開口し、続いてSiO2 膜8を第一のマスクとしてPAE膜7をエッチングして接続孔13を開口する。ここで、レジストマスク12は、PAE膜7のエッチング処理で同時に除去される。
第二のマスク11のa−Si膜27のエッチングは、通常のプラズマエッチング装置を使用して、例えばエッチングガスとして、塩素(Cl2 )、臭化水素酸(HBr)、及び酸素(O2 )を用い、ガス流量比(Cl2 :HBr:O2 )を10:2:1、RFパワーを20W、基板温度を0℃に設定する。
【0070】
SiO2 改質領域28と、第一のマスクのSiO2 膜8の接続孔13の開口は、実施形態例1の第一のマスクのSiO2 膜8の接続孔開口と同様に行う。
また、PAE膜7への接続孔開口も、実施形態例1と同様に行う。このエッチング条件下のレジストマスク12のエッチングレートは、PAE膜7のそれとほぼ同等であるため、PAE膜7の開口中にレジストマスク12は減肉していくが、第二のマスクであるa−Si膜27又は第一のマスクであるSiO2 膜8が存在するので、それらをエッチングマスクにすることにより、良好な開口形状の接続孔13を得ることが出来る。因みに、PAE膜7のエッチング条件下のa−Si膜、SiO2 膜、及びSiOC膜に対するエッチング選択比は、100以上である。
【0071】
次に、図8(e)に示すように、配線溝パターンを有するa−Si膜27からなる第二のマスクを用いて、ドライエッチング法により、SiO2 改質領域28、及び第一のマスクとなるSiO2 膜8をエッチングして、配線溝パターン15を形成する。
更に、実施形態例1と同様にして、配線溝パターン15の開口と同時に、接続孔13が開口されたPAE膜7をマスクとして、SiOC膜6をエッチングして接続孔14を開口する。このエッチング条件下のa−Si膜27に対するエッチング選択比(SiOC、SiO2 /Si)は20以上であるから、上記エッチング処理では、十分な余裕を持って配線溝上方の広がりや肩落ちを抑制した良好な開口形状の配線溝パターン15を得ることが出来る。
【0072】
次いで、図8(f)に示すように、配線溝パターン15の底部に残存するPAE膜7をエッチングして配線溝16を形成し、接続孔14底部にあるSiC膜5をエッチングして、接続孔14をCu埋め込み層4に連通させて、所定のデュアルダマシン加工を完了する。
以下、図示しないが、実施形態例2と同様にして、デュアルダマシン構造の多層配線構造を得ることができる。
尚、配線溝領域外に残存した第二のマスクa−Si膜27は、接続孔底部のSiC膜5をエッチングする過程で除去することができるが、この工程で除去出来なくても、その後に埋め込まれるCu膜とTa膜のCMP工程で容易に除去することが可能である。
【0073】
本工程を経て形成されたデュアルダマシン構造の多層配線は、接続孔パターンを有するレジストマスク12を形成する際に下地層に局所段差が発生していないので、微細なパターンを有するレジストマスク12を高精度で形成することができる。
また、第二のマスク11を構成する、選択的に埋め込み形成されたa−Si膜27が、SiOC膜6の接続孔開口14時に高選択比を有したエッチング処理を可能にするので、配線溝の形状悪化無く安定して、微細寸法の接続孔開口を形成することができる。これにより、良好なヴィアコンタント特性を得ることが出来る。
また、本実施形態例の方法を適用することにより、低誘電率層間絶縁膜内にデュアルダマシン構造を有する半導体装置を高い歩留まりで製造することができる。
【0074】
実施形態例1から3に記載の層間絶縁膜は、表記された膜種、膜厚、成膜方法に限定されることはない。Cu膜の酸化防止層として堆積したSiC膜5、19は、CVD法により形成されるSiN膜としてもよく、SiC膜中に窒素(N2 )や水素(H)等の軽元素が含有した膜を用いても良い。
接続孔層間膜となるSiOC膜6と配線層間膜となるPAE膜7の積層構造は、例えばSiOC膜の代わりに、CVD法により成膜されたSiOF膜やSiO2 膜、スピンコート法により形成されるMSQ膜やHSQ膜でもよく、PAE膜の代わりにではポリアリレンエーテル膜、アモルファスカーボン膜、ポリテトラトラフロロエチレン膜の適用も可能である。さらに、キセロゲル膜、多孔質構造を有するMSQ膜や有機ポリマー等の適用や、これらの組み合わせも可能である。
【0075】
また、実施形態例1から3では、PAE膜とMSQ膜の上に成膜され、第一のマスクとなるSiO2 膜8は、図3(g)等に示すように、最終的に配線層間として約50nm残存させているが、バリアメタルとの密着性やCu−CMP工程における機械的強度、もしくはCu酸化防止層19を成膜する前に行われるCu酸化物の還元処理時の損傷が問題にならなければ、第一のマスクをSiOF膜、MSQ膜、HSQ膜等の無機系低誘電率膜とすることも可能である。
また、同様の制限が許す限り、第一のマスクを、デュアルダマシンエッチング工程やCu−CMP工程にて除去することも可能である。
【0076】
また、実施形態例2では、第二のマスク形成層であるSiC膜23の選択的改質は、酸素イオン注入法によりマスク領域外のSiC膜23を酸化する方法を採用している。
これに限らず、その後のエッチング処理に対して選択比をとることが出来る組み合わせで、且つ第二のマスク形成層が第一のマスク形成層の絶縁膜と接続孔層間絶縁膜を選択的に除去出来る組み合わせの改質処理であればよく、例えば、第二のマスク形成層としてSiOC膜を全面堆積し、配線溝パターンの反転マスクを用いて配線溝形成領域外の第二のマスク形成層のSiOC膜にアルゴン(Ar)やヘリウム(He)等の不活性ガスを用いたイオン注入を行うことによりSi−O結合を切断し、第二のマスクをSiC化する方法を用いてもよい。
【0077】
また、実施形態例3では、第二のマスク11を形成するために、a−Si膜27の選択的イオン注入は、本実施形態例ではマスク領域外のa−Si膜を絶縁化する方法を採用しているが、その後のエッチング処理に対して選択比をとることが出来る組み合わせで、且つ第二のマスク形成層が第一のマスク形成層の絶縁膜と接続孔層間絶縁膜を選択的に除去出来る組み合わせの改質処理であればよく、例えば、第二のマスク形成層としてa−Si膜を全面堆積し、配線溝パターンの反転マスクを用いて配線溝領域外のa−Si膜に窒素イオンや炭素イオンを注入して第二のマスクをSiN膜、又はSiC膜とする方法を用いてもよい。
【0078】
【発明の効果】
本発明によれば、低誘電率層間絶縁膜内にデュアルダマシン構造の多層配線を有する半導体装置の製造に際し、配線溝形成用のエッチングマスクを局所段差を発生させること無く形成することができるので、配線溝及び接続孔を高い位置合わせ精度及び高い形状精度で開口することができる。
また、マスクアライメントの観点より使用が制限されていた金属膜等をマスク材料として用いることが可能となり、良好なデュアルダマシン加工形状を得ることができる。
本発明方法を適用することにより、デュアルダマシン構造の多層配線を有する高集積微細で高性能半導体装置を高歩留まりで製造することができる。
【図面の簡単な説明】
【図1】図1(a)から(c)は、それぞれ、実施形態例1の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図2】図2(d)から(f)は、それぞれ、図1(c)に続いて、実施形態例1の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図3】図3(g)と(h)は、それぞれ、図2(f)に続いて、実施形態例1の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図4】図4(a)から(c)は、それぞれ、実施形態例2の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図5】図5(d)から(f)は、それぞれ、図4(c)に続いて、実施形態例2の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図6】図6(g)と(h)は、それぞれ、図5(f)に続いて、実施形態例2の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図7】図7(a)から(c)は、それぞれ、実施形態例3の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図8】図8(d)から(f)は、それぞれ、図7(c)に続いて、実施形態例3の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図9】図9(a)と(b)は、それぞれ、従来の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図10】図10(c)から(e)は、それぞれ、図9(b)に続いて、従来の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【図11】図11(f)と(g)は、それぞれ、図10(e)に続いて、従来の方法によりデュアルダマシン構造を形成する際の各工程の断面図である。
【符号の説明】
1……下地絶縁膜、2……有機膜、3……酸化シリコン(SiO2 )膜、4……Cu埋め込み配線、5……炭化シリコン(SiC)膜、6……炭素含有酸化シリコン(SiOC)膜、7……ポリアリールエーテル(PAE)膜、8……SiO2 膜、8a……開口部、9……SiN膜、10……レジストマスク、11……第二のマスク、12……レジストマスク、13、14……接続孔、15……開口部、16……配線溝、17……Ta膜、、18……Cu膜、19……SiC膜、20……レジストマスク、21……Ta膜、22……Ta埋め込み層、23……炭化シリコン膜(SiC)膜、25……SiOC膜、27……アモルファスシリコン膜(a−Si)膜、28……改質SiO2 膜。

Claims (12)

  1. 有機絶縁膜を含む層間絶縁膜を備えた半導体装置の製造方法において、
    層間絶縁膜上に層間絶縁膜をエッチングするエッチングマスクとして第一のマスクを形成する工程と、
    層間絶縁膜を加工する第二のエッチングマスクとして第一のマスクとは異なる材料で第一のマスク中に局所的に埋め込まれた第二のマスクを形成する工程と
    を備えていることを特徴とする半導体装置の製造方法。
  2. (イ)半導体基板上に設けられた下層配線と連通する接続孔を貫通させる絶縁膜として第一の絶縁膜を下層配線上に成膜し、次いで上部配線の埋め込み配線を形成する配線間絶縁膜として第二の絶縁膜を第一の絶縁膜上に成膜する工程と、
    (ロ)第一のマスク形成層を第二の絶縁膜上に成膜する工程と、
    (ハ)第一のマスク形成層上に上部配線の配線溝の反転パターンを有する第一のレジストマスクを形成する工程と、
    (ニ)第一のレジストマスクを使って第一のマスク形成層をエッチングし、上部配線の配線溝の反転パターンからなる凹部を第一のマスク形成層に形成し、次いで第一のマスク形成層上に第二のマスク形成層を成膜して凹部を第二のマスク形成層で埋め込む工程と、
    (ホ)次いで配線溝形成領域上の第二のマスク形成層を選択的に除去し、第一のマスク形成層の凹部に第二のマスク形成層を残留させてなる配線溝パターンを有する第二のマスクを形成する工程と、
    (ヘ)第二のマスク及び第一のマスク形成層上に、接続孔の開口パターンを有する第二のレジストマスクを形成する工程と、
    (ト)第二のレジストマスクをエッチングマスクとして第二のマスク、第一のマスク形成層及び第二の絶縁膜をエッチングして接続孔を開口する工程と、
    (チ)第二のマスクをエッチングマスクとして第一のマスク形成層をエッチングして、第一のマスク形成層に配線溝を形成するとともに、第二の絶縁膜をマスクにして第一の絶縁膜をエッチングして接続孔を完成する工程と、
    (リ)第一のマスク及び第二のマスクの少なくともいずれかを用いて第二の絶縁膜をエッチングして、第二の絶縁膜に配線溝を形成する工程と、
    (ヌ)第二のマスクを除去する工程と
    を有することを特徴とした半導体装置の製造方法。
  3. 工程(イ)では、第一の絶縁膜としてメチルシルセスキオキサン膜を成膜し、第二の絶縁膜として有機膜を成膜することを特徴とする請求項2に記載の半導体装置の製造方法。
  4. 工程(ロ)では、第一のマスク形成層として絶縁膜を成膜し、工程(ニ)では、第二のマスク形成層として金属膜を成膜することを特徴とする請求項2に記載の半導体装置の製造方法。
  5. 工程(ニ)では、第二のマスク形成層として、第二のマスクを使って反応性イオンエッチング法により選択的に第一のマスクを加工出来る材料層を成膜することを特徴とする請求項2に記載の半導体装置の製造方法。
  6. 工程(ホ)で配線溝形成領域上の第二のマスク形成層を選択的に除去する際には、配線溝形成領域の第二のマスク形成層を化学機械研磨(CMP)法により選択的に除去することを特徴とする請求項2に記載の半導体装置の製造方法。
  7. (イ)半導体基板上に設けられた下層配線と連通する接続孔を貫通させる絶縁膜として第一の絶縁膜を下層配線上に成膜し、次いで上部配線の埋め込み配線を形成する配線間絶縁膜として第二の絶縁膜を第一の絶縁膜上に成膜する工程と、
    (ロ)第一のマスク形成層を第二の絶縁膜上に成膜し、第一のマスク形成層上に第二のマスク形成層を成膜する工程と、
    (ハ)配線溝の開口パターンを有するレジストマスクを第二のマスク形成層上に形成する工程と、
    (ニ)レジストマスクの配線溝の開口パターンを介して第二のマスク形成層を選択的に改質して第二のマスク形成層に配線溝の開口パターンと同じパターンの改質領域を有する第二のマスクを第二のマスク形成層に形成する工程と、
    (ホ)接続孔の開口パターンを有するエッチングマスクを第二のマスク形成層上に形成する工程と、
    (ヘ)エッチングマスクを用いて、第二のマスク、第二のマスク形成層、及び第一のマスク形成層をエッチングして、第二のマスク形成層及び第一のマスク形成層を貫通する第一のマスクを形成し、更に第一のマスクをエッチングマスクとして第二の絶縁膜をエッチングして接続孔を開口する工程と、
    (ト)第二のマスクを用いてエッチングし、第二のマスクの改質領域及び第一のマスク形成層に配線溝を形成するとともに、第二の絶縁膜をマスクにして第一の絶縁膜に接続孔を開口する工程と、
    (チ)第一のマスク及び第二のマスクの少なくともいずれかを用いたエッチングにより、第二の絶縁膜に配線溝を形成する工程と、
    (リ)少なくとも第二のマスクを除去する工程と
    を有することを特徴とした半導体装置の製造方法。
  8. 工程(イ)では、第一の絶縁膜としてメチルシルセスキオキサン膜を成膜し、第二の絶縁膜として有機膜を成膜することを特徴とする請求項7に記載の半導体装置の製造方法。
  9. 工程(ロ)では、第二のマスク形成層として、第二のマスクを使って反応性イオンエッチング法により選択的に第一のマスクを形成できる材料層を成膜することを特徴とする請求項7に記載の半導体装置の製造方法。
  10. 工程(ニ)では、イオン注入法により第二のマスク形成層を選択的に改質させることを特徴とする請求項7に記載の半導体装置の製造方法。
  11. 工程(ロ)では、第二のマスク形成層として炭化シリコン(SiC)膜を成膜し、
    工程(ニ)では、酸素イオン注入法を用いて、配線溝形成領域の第二のマスク形成層の改質処理を行うことを特徴とする請求項7に記載の半導体装置の製造方法。
  12. 工程(ロ)では、第二のマスク形成層として、非晶質シリコン(a−Si)膜を成膜し、
    工程(ニ)では、酸素イオン注入法を用いて、配線溝形成領域の第二のマスク形成層の改質処理を行うことを特徴とする請求項7に記載の半導体装置の製造方法。
JP2002210467A 2002-07-19 2002-07-19 半導体装置の製造方法 Pending JP2004055781A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2002210467A JP2004055781A (ja) 2002-07-19 2002-07-19 半導体装置の製造方法
US10/489,709 US6946385B2 (en) 2002-07-19 2003-07-02 Production method for semiconductor device
PCT/JP2003/008406 WO2004010495A1 (ja) 2002-07-19 2003-07-02 半導体装置の製造方法
TW092118876A TWI242247B (en) 2002-07-19 2003-07-10 Method for manufacturing a semiconductor device
US11/097,137 US7119007B2 (en) 2002-07-19 2005-04-04 Production method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002210467A JP2004055781A (ja) 2002-07-19 2002-07-19 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2004055781A true JP2004055781A (ja) 2004-02-19

Family

ID=30767730

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002210467A Pending JP2004055781A (ja) 2002-07-19 2002-07-19 半導体装置の製造方法

Country Status (4)

Country Link
US (2) US6946385B2 (ja)
JP (1) JP2004055781A (ja)
TW (1) TWI242247B (ja)
WO (1) WO2004010495A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011030476A1 (ja) * 2009-09-10 2011-03-17 パナソニック株式会社 半導体装置の製造方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8263983B2 (en) * 2003-10-28 2012-09-11 Semiconductor Energy Laboratory Co., Ltd. Wiring substrate and semiconductor device
DE102004031878B3 (de) * 2004-07-01 2005-10-06 Epcos Ag Elektrisches Mehrschichtbauelement mit zuverlässigem Lötkontakt
JP2006024811A (ja) * 2004-07-09 2006-01-26 Sony Corp 半導体装置の製造方法
JP2006032864A (ja) * 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
US7214612B2 (en) * 2005-08-31 2007-05-08 United Microelectronics Corp. Dual damascene structure and fabrication thereof
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
US7737049B2 (en) * 2007-07-31 2010-06-15 Qimonda Ag Method for forming a structure on a substrate and device
KR101776955B1 (ko) 2009-02-10 2017-09-08 소니 주식회사 고체 촬상 장치와 그 제조 방법, 및 전자 기기
JP6311547B2 (ja) * 2013-11-05 2018-04-18 東京エレクトロン株式会社 マスク構造体の形成方法、成膜装置及び記憶媒体
CN105226005B (zh) * 2014-05-30 2018-06-01 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
US9793169B1 (en) * 2016-06-07 2017-10-17 Globalfoundries Inc. Methods for forming mask layers using a flowable carbon-containing silicon dioxide material
TWI797088B (zh) * 2016-09-19 2023-04-01 日商東京威力科創股份有限公司 在原位硬遮罩移除方法
JP7321059B2 (ja) * 2019-11-06 2023-08-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10143914A (ja) 1996-11-11 1998-05-29 Kenwood Corp 光ディスク装置
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
JP3501280B2 (ja) 1998-08-31 2004-03-02 富士通株式会社 半導体装置の製造方法
JP3436221B2 (ja) 1999-03-15 2003-08-11 ソニー株式会社 半導体装置の製造方法
JP2001156170A (ja) * 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
JP4211198B2 (ja) * 2000-05-24 2009-01-21 ソニー株式会社 半導体装置の製造方法
JP2002009152A (ja) * 2000-06-21 2002-01-11 Nec Corp 半導体装置及びその製造方法
JP4850332B2 (ja) * 2000-10-18 2012-01-11 東京エレクトロン株式会社 デュアルダマシン構造のエッチング方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011030476A1 (ja) * 2009-09-10 2011-03-17 パナソニック株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
TWI242247B (en) 2005-10-21
US20050003653A1 (en) 2005-01-06
US7119007B2 (en) 2006-10-10
WO2004010495A1 (ja) 2004-01-29
TW200411770A (en) 2004-07-01
US20050170636A1 (en) 2005-08-04
US6946385B2 (en) 2005-09-20

Similar Documents

Publication Publication Date Title
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US7534721B2 (en) Semiconductor device manufacturing device
US7176120B2 (en) Method of manufacturing semiconductor device
US6479391B2 (en) Method for making a dual damascene interconnect using a multilayer hard mask
JP4679193B2 (ja) 半導体装置の製造方法及び半導体装置
US7119007B2 (en) Production method of semiconductor device
US7723226B2 (en) Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio
JP4850332B2 (ja) デュアルダマシン構造のエッチング方法
US7259089B2 (en) Semiconductor device manufacturing method that includes forming a wiring pattern with a mask layer that has a tapered shape
JP2002043419A (ja) 半導体装置の製造方法及び半導体装置
JP2006253645A (ja) 半導体装置の製造方法および半導体装置
US7144761B2 (en) Semiconductor device and method for fabricating the same
JP2000091422A (ja) 多層配線構造の製造方法
JP2006165214A (ja) 半導体装置およびその製造方法
JP2005217223A (ja) 半導体装置の製造方法
JP2005353633A (ja) 半導体装置の製造方法および半導体装置
KR100512051B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP2006049534A (ja) 半導体装置の製造方法及び半導体装置
KR20050023204A (ko) 반도체 장치의 제조방법
JP2004072080A (ja) 半導体装置の製造方法および半導体装置
JPH08264644A (ja) 接続孔を形成する工程を有する半導体装置の製造方法
KR100458589B1 (ko) 반도체 소자 제조 방법
JP2006332408A (ja) 半導体装置の製造方法
JP2006073907A (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20040319

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040527

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20040604

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071009

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080226