JP4006376B2 - 相互接続構造の製造方法およびその構造 - Google Patents

相互接続構造の製造方法およびその構造 Download PDF

Info

Publication number
JP4006376B2
JP4006376B2 JP2003313299A JP2003313299A JP4006376B2 JP 4006376 B2 JP4006376 B2 JP 4006376B2 JP 2003313299 A JP2003313299 A JP 2003313299A JP 2003313299 A JP2003313299 A JP 2003313299A JP 4006376 B2 JP4006376 B2 JP 4006376B2
Authority
JP
Japan
Prior art keywords
dielectric
interconnect
gap
filling
support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003313299A
Other languages
English (en)
Other versions
JP2004146800A (ja
Inventor
ドナルド・エフ・カナペリ
ティモシー・ジェイ・ダルトン
ステファン・エム・ゲイツ
マハドュベイヤー・クリシュナン
サンパス・プルショッサマン
シーン・ピー・イー・スミス
サットヤ・ニッタ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2004146800A publication Critical patent/JP2004146800A/ja
Application granted granted Critical
Publication of JP4006376B2 publication Critical patent/JP4006376B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

本発明は、高性能集積回路およびパッケージング集積回路における“ラインの後工程(BEOL)”相互接続に関する。
高性能マイクロプロセッサ,マイクロコントローラ,および通信チップは、論理演算,データの記憶と検索,制御信号の供給などのような様々な機能を実現するために用いられるアクティブ・トランジスタ・デバイス間に極めて高速の相互接続を必要とする。現在の超LSIをもたらしたトランジスタ・デバイス・テクノロジの進歩につれ、これら最新のチップの全体の動作速度が、チップ上の個々のデバイス間の相互接続配線における信号伝播遅延によって制限され始めた。相互接続の信号伝播遅延はRC積に従属し、Rは相互接続配線の抵抗を示し、Cは、当該相互接続配線が埋め込まれる相互接続構造の全キャパシタンスを表す。相互接続配線材料としてAlの代わりに銅を使用することにより、RC積への抵抗寄与の低減が可能になった。超小型電子技術産業の目下の焦点は、チップ上に多層相互接続構造を形成する際に低誘電率(k)絶縁体を使用することによって、相互接続キャパシタンスを低減することである。
相互接続配線網をこのように小スケールに作成する従来の方法の1つは、図1に概略的に示すデュアルダマシン(DD)プロセスである。標準的なDDプロセスにおいて、2つの層1110,1120として図1aに示される金属間誘電体(IMD)を基板1100上に塗布する。プロセス・フローの説明の明瞭さのために、バイア・レベル誘電体1110とライン・レベル誘電体1120とを別々に示す。一般的に、これら2つの層は、同一の絶縁膜または異なる絶縁膜で作成でき、前者の場合には、単一モノリシック層として付着される。歴史的に、双方の層は、プラズマCVD(PECVD)によって付着される二酸化シリコン(SiO2 )のような無機ガラスかまたはフッ化石英ガラス(FSG)膜から作成される。ハード・マスク層あるいは層状スタック1130を任意に用いて後続のパターニング工程におけるエッチング選択性を促進し、ポリッシュ・ストップとして機能させる。配線相互接続網は2つのタイプのフィーチャから成る。すなわち、チップを一定距離横切るライン・フィーチャと、多重レベル・スタック内の相互接続の異なるレベルのラインを相互に接続するバイア・フィーチャとである。
デュアル・ダマシン・プロセスにおいては、ライン1150およびバイア1170の位置は、“ライン・ファースト(line-first)”アプローチの一例としてリソグラフィで定められる。リソグラフィを用いてフォトレジスト1500内にトレンチ・パターン1150を定め(図1b)、フォトレジスト層1510内にバイア・パターン1170を定め(図1c)そして、パターンを誘電体材料へ転写してバイア開口部1180を生成する(図1d)。トレンチをエッチングし、フォトレジストを除去した後のデュアル・ダマシン・トレンチおよびバイア構造1190を図1eに示す。次に、このリセス構造1190を導電ライナ材料または材料スタック1200でコーティングする。この導電ライナ材料または材料スタック1200は、導体金属ラインおよびバイアを保護するために使われ、導体とIMDとの間の接着層として機能する。次に、このリセスを、導電充填材料1210で、パターニングされた基板の表面の上まで充填する。充填は、銅の電気メッキによって最も一般的に達成されるが、他の方法例えば化学的蒸着(CVD)そして他の材料例えばAlまたはAuを用いてもよい。次に、充填材料およびライナ材料を化学機械研磨(CMP)して、ハード・マスクの表面と共面にする。この段階における構造を図1fに示す。キャップ材料1220をブランケット膜として付着し、図1gに示すように、露出した金属表面を不動体化し、金属とそれらの上に付着する追加のIMD層との間の拡散バリアとして機能させる。PECVDによって付着した窒化シリコン膜,炭化シリコン膜,および炭窒化シリコン(silicon carbonitride)膜を、キャップ材料1220として典型的に用いる。このプロセス・シーケンスを、デバイス上のそれぞれのレベルの相互接続に対してくり返す。2つの相互接続フィーチャが同時に定められ、単一の研磨工程によって絶縁体内にはめ込まれた導体を形成するので、このプロセスはデュアル・ダマシン・プロセスと呼ばれる。
キャパシタンスを下げるためには、PECVD二酸化シリコン・ベースの誘電体(k=3.6〜4.0)の代わりに、2.5〜3.0のk値を有する、有機ポリマ、Si,C,OおよびHを含有する低kPECVD膜、およびスピンオンオルガノシリケートガラス(organo-silicate glass)のような低k誘電体を使用することが必要である。これらの絶縁体に多孔性を導入することにより、k値を2.2(超低k)までそしてさらに2.0以下(極超低k)までさらに低減することができる。簡潔さのために、本明細書においては、これらの超低k材料および極超低k材料を総合して極低k材料と呼ぶ。可変範囲のk値をこの一組の極低k材料によって実現できるが、上述したデュアル・ダマシン・プロセスによってこれらの材料を銅相互接続と組み合わせる点において種々の障害が存在する。
第一に、これらの低k誘電体は、二酸化シリコン膜またはFSG膜よりはるかに低い弾性率,破壊靱性,および結合力を有し、最新の銅相互接続で用いられる典型的なハード・マスク層に対するそれらの接着力もそれに伴い劣る。結果として、銅充填材のCMPをデュアル・ダマシン相互接続ビルドの際に試みる場合、デラミネーションが、もろい低k材料内で結合力に起因して、あるいは極低k材料とハード・マスクとの間の界面において接着力に起因して、発生する。これは、DDプロセスを、製造可能性および歩留りの観点から極めて非実用的にさせる。
第二に、大部分の極低k膜、特にオルガノシリケート・ベースのものは、プラズマ露光に極めて敏感である。というのは、Si−有機基結合(例えばSi−メチル)の酸化または裂開の相対的な容易さが、周囲の水分と反応して膜内にシラノール(Si−OH)基を形成する場所(site)をもたらすからである。シラノールはH2 Oを吸収し、したがって膜の誘電率および誘電損率を著しく増加させ、極低k膜に期待される性能利得を否定する。反応性イオンエッチングおよびプラズマエッチングは、上述したようなデュアル・ダマシン・トレンチおよびバイア構造の形成において、そして、極低k材料のパターニングの際に用いられるフォトレジストの除去において、必要とされる重要な工程であるので、従来のデュアル・ダマシン集積の際にこの種の膜のプラズマ・ダメージを回避することは、不可能ではないとしても、極めて困難である。
第三に、多くのオルガノシリケートは、微細な相互接続パターンを定めるために用いられる極めて高感度のフォトレジスト層と反応しやすく、レジストの描画および解像能力の劣化につながる。フォトレジスト・ポイズニングと呼ばれるこの現象は、これらの誘電体の事前に反応性イオンエッチングされた表面にリソグラフィを試みるときさらに悪化する(例えば、低k誘電体膜に事前に反応性イオンエッチングされたトレンチ・パターンの上に塗布されたフォトレジストにバイア・パターンを定めるリソグラフィ工程またはその逆のリソグラフィ工程の際に、さらに悪化する)。
加えて、デュアル・ダマシン相互接続構造の材料組成が実効誘電率(keff)を著しく劣化させる。これは、構造内の高kハード・マスク層およびキャップ層の存在による。(極低k金属間誘電体を首尾よく集積できる場合には)極低k金属間誘電体を用いて、実効kを低下させることができるが、達成可能なkeff低減は、図1に述べたハード・マスクおよびキャップ層について典型的に用いられる高k誘電体層の存在によって制限される。したがって、例えば、IMDのkが2.65から2.2へ低減する場合(kの17%の低減)、これらの高k層からの寄与の影響のために、keffは3.04から2.68へ低減するのみである(わずか12%の低減)。極低k誘電体の従来のDD集積の他の問題は、誘電体層1110と1120との間に付着した(図1に示されない)任意の反応性イオンエッチング停止層の使用である。この層の機能は、2つの層の間のRIEストップの働きをすることであり、したがって、ライン・トレンチのエッチング深さおよび底部表面トポグラフィのさらに好ましい制御を可能にすることである。これらのエッチ・ストップ層は、また、極低k誘電体より高い誘電率を有し、したがって、エッチ・ストップ層を含むDD構造のkeffをさらに劣化させる。さらに、これらハード・マスク層,キャップ層およびエッチ・ストップ層の厚さは、将来の世代で予定される相互接続層およびIMDの厚さの減少に比例しては減少しない。これは、これらの層の信頼できる機能がIMD厚さに依存しない最低限度の厚さを必要とするからである。その結果、これらの高k層の好ましくない影響は、将来の超小型電子技術相互接続構造に必要とされるより微細なグラウンド・ルールでさらに悪化する。
上述した問題の一部を課題とする従来のアプローチの1つは、Wagganerによる米国特許第6146986号である。この特許は、バイア開口部およびライン・トレンチを得るために仮の層間誘電体としてフォトレジストを使用し、バイア開口部およびライン・トレンチを金属で充填し、上述した標準的なデュアル・ダマシン・プロセスにおけるのと同様に平坦化し、金属の全周囲のフォトレジストを除去し、金属フィーチャの間および下に開いた全ての空間を極低k誘電体膜で充填することを教示する。このアプローチは、プラズマ・プロセスに対する極低k誘電体の露光を回避するが、極低k誘電体は、一部のフォトレジスト・プロセスにさらされることになる。加えて、フォトレジストを除去した後に、支持体としてバイアのみを用いてラインが宙に浮いており、このラインは、扱うのに極めてもろく、支持レジストを除去するときに変形する見込が大きいという事実により、このプロセスは確実に製造できるというわけではない。さらに、支持を与えるために極低k誘電体の充填プロセスはラインの下を充填しなければならず、これは達成するのが困難であると思われる。たとえこれが達成されたとしても、最良の最終構造はラインの周囲および下の至る所に物理的にもろい極低k誘電体を有するにすぎず、これは、充填し平坦化した構造の上に次のレベルの相互接続を製造するときに標準的なデュアル・ダマシン研磨プロセスと同様のデラミネーション問題を生じさせることになる。
したがって、従来の構造に付随する上述の問題を回避し、極低k IMD材料を用いて物理的に堅固かつより低いkeffの相互接続構造を実現するために、代わりの集積方法が必要であることが明らかである。
米国特許第6146986号
用いられる様々なプロセス工程の間の極低k誘電体のダメージおよび誘電率の増加を回避する必要性が存在する。金属化学機械研磨工程の際に構造のデラミネーションを回避する必要性も存在する。さらに、極低k誘電体材料との相互作用から生じるフォトレジスト・ポイズニング問題の可能性を取り除く必要性が存在する。以下に詳細に述べる本発明の方法によってこれらの必要性を満たすことができる。
本発明は、極低実効誘電率(keff)金属間誘電体膜を損ねる副次的構造を伴わない相互接続構造に関する。
本発明の特徴は、相互接続構造におけるハード・マスク層,エッチ・ストップ層およびキャップ層の寄与を最小化するあるいは除去することにより極低keff構造を製造する集積方法である。
本発明の他の特徴は、相対的に高誘電率のハード・マスクを使用し、続いてハード・マスクを除去して相互接続の層を製造することである。
本発明のさらに他の特徴は、相互接続ラインの下に支持誘電体を与えて相互接続構造の物理的強度を高めることである。
本発明は、コンピュータ,マイクロプロセッサ,マイクロコントローラ,センサ,通信デバイスなどに用いられる極めて高性能の超小型チップに関する。特に、ここに説明する本発明の構造は、このようなチップ上の相互接続配線網に関連し、これらの配線に付随する信号伝播遅延を著しく減らす。ここに説明し要求する本発明の方法は、銅配線と極低誘電率誘電体とを用いてこれらの高性能相互接続網を製造するために必要な集積工程を与える。
ここに教示する本発明の方法を“エッチバックおよびギャップ充填”(EBGF)集積スキームと呼ぶ。この方法は、上述しかつ図1に示した従来の工程より成るデュアル・ダマシン(DD)相互接続構造の製造から開始する。後述するように後に含まれることとなる極低k誘電体と比べて好ましくはより堅固な金属間誘電体材料(IMD)を用いてDD構造を形成する。また、2つのIMD層1110と1120が同一であることが好ましい。EBGFプロセスは、キャップ1220を付着する前のDD構造から開始する。したがって、図2aに示すように、開始時の構造は図1fに示す構造と同じである。最初に、DD層を有する基板を反応性イオンエッチング・チャンバへ入れ、適切な供給ガスおよびプラズマ条件を用いて、ハード・マスク・スタック1130とIMD層1110および1120を方向性エッチングする。ハード・マスクおよびIMDを優先的により速い速度でエッチングする一方、銅ラインおよび導電ライナをエッチングしないようにエッチング・プロセスを選択する。
その結果、図2bに示す構造となる。図2bの構造において、全てのハード・マスク・スタック1130とIMD層1110および1120のライン直下に位置しない領域とをエッチングして、相互接続ラインの下にあり相互接続バイアを包むIMDのビーム状ライン支持領域2120(支持誘電体と称する)のみを残す。相互接続ライン1210は、相互接続バイアの高さと等しい垂直距離だけ支持表面の上部から隔てられた底部表面を有するので、支持領域2120は、当該垂直距離と等しい厚さでラインの大部分の下に延び、堅固な支持を与える。この断面において、2つの領域2120がバイアを挟む。バイアの前後においては、ライン支持領域がライン1210の全幅に延びることとなる。この工程を本発明のプロセスのエッチバック工程と呼び、堅固な支持誘電体材料のビームで支えられる相互接続配線およびバイアをもたらす。最初のDD相互接続において用いられる配線金属は好ましくは銅であるが、他の材料例えばAlまたはAuを用いてもよい。用いられる支持金属間誘電体は、好ましくは、極低k誘電体膜の形成に必要な温度(典型的には400℃〜450℃)での耐熱性を有し、上述したDD相互接続を形成するための容易な製造をもたらす有機ポリマである。追加の要件は、選択されたIMDが、銅ラインまたは導電ライナ材料にダメージを与えることなく方向性プラズマ・エッチング・プロセスによって容易にエッチングできることである。このような有機ポリマの例は、例えば、Dow Chemical Companyによって製造されるスピンオン芳香族熱硬化型ポリマ(spin on aromatic thermoset polymer)であるSiLk(登録商標),Honeywell Microelectronic Materialsによって製造されるスピンオン有機ポリマであるFlareTM,およびPECVDによって付着するアモルファス水素化(hydrogenated)ダイアモンドライクカーボン(DLC)などである。他の材料例えばスピンオンガラス,酸化シリコン,フッ化シリコンオキサイドなどもこの堅固な支持層誘電体として用いることができる。多孔性誘電体が以下に述べる極低k誘電体より物理的に堅固である限り、多孔性誘電体を支持誘電体として用いることもできる。
SiLkの場合には、エッチバック工程は、好ましくは、化成ガス(forming gas),窒素,N−Hプラズマ,または純水素プラズマのような還元剤を用いてもよい。酸素,CO2 ,および炭化フッ素の任意の追加をプラズマ供給ガスにさらに用いてエッチング選択性とエッチング・プロファイルとを最適化することもできる。
次に、エッチバックした構造の任意のウェットクリーン(wet clean)およびベークアウト(bake out)を実行して、ライン間の残渣を除去してもよく、および/または、ラインの上に形成されることがある残渣もしくは不揮発性反応生成物を洗浄してもよい。SiLkまたは同等の低k材料の洗浄に用いられる有機溶媒を使用して、次の層の良好な接着に適したクリーン表面を残してもよい。
表面を準備した後、任意のコンフォーマル封止層2250を付着してもよい。コンフォーマル封止層は、相互接続材料の上部と、ライナ材料の両側と、支持材料2120の両側と、下方の層の上部表面とを覆い、下方の層の上部表面は、ILDまたはキャップ層の上部とすることができる。封止層の材料は、a)Cu,ILD,および支持ライナ材料に対する良好な接着性、b)コンフォーマルな付着およびCuのカバレッジ、そしてc)続いて付着する最終ギャップ充填誘電体に対する良好な接着性を有することが必要である。
適切な材料は、SiCH,SiNCH,窒化物(Si34 ),SiCOHおよび酸化物(SiO2 )のアモルファス膜である。コンフォーマル層の厚さは銅を封止し酸素をブロックするのに十分とすることができ、例えば約5nm〜約20nmである。他のフィーチャへの短絡の危険を減らすために、好ましくは、コンフォーマル材料は絶縁体である。上の層から図示した層へ(バイアの底部において)コンタクトを開口する工程の際、バイアを開口するエッチング工程は、電気的コンタクトになる領域においてのみ絶縁コンフォーマル層を除去することになる。当業者は、この開示にかんがみて、他の材料をリストに加えることができる。コンフォーマル層のための付着プロセスは、例えば、良好な共形性(conformality)に適合した、原子層付着プロセス,化学的蒸着(CVD)プロセス,またはプラズマCVDプロセスとすることができる。
銅が相互接続構造へシールされるので、信頼性が向上し、CMPプロセスまたはエッチング・プロセスによるライナへのダメージが減少する。有益なことに、コンフォーマル封止ライナ材料は、CMPおよびエッチングに関して、最終ギャップ充填材料より耐久性が高い。さらに、ライナは酸化および/または腐食から保護される。
次の工程において、エッチバック工程で作られたエッチ・ギャップを所望の極低k誘電体で充填する。好ましいギャップ充填プロセスは、以下のプロセスである。すなわち、適切なレオロジー特性、例えば粘性および表面張力を有するスピンオン誘電体前駆物質溶液を用いてギャップに完全に行き渡らせ、ギャップをぬらし、充填し、そしてライン上部を上塗りし、前駆物質が完全に硬化して極低k誘電体膜を形成するときに少量のオーバーバーデンを有する表面上平坦化された構造をもたらす。充填した構造の完全性を保持するためには、硬化の際に著しい応力増加を伴わずにギャップを充填し平坦化する膜の能力が望ましい。加えて、隣接するライン間のライン間キャパシタンスを低減するためには、ギャップ充填誘電体(GFD)のkが支持誘電体2120のkより著しく低いことが好ましい。このようなギャップ充填誘電体の例は、メチルシルセスキオキサン,ヒドリドシルセスキオキサン,テトラエチルオルソシリケート,およびこれらの混合物を主成分とするスピンオンガラス、ベンゾシクロブテンベースのポリマ、芳香族熱硬化型ポリマ、および誘電率を下げるよう制御されたレベルの多孔度を含むことによってこれらのスピンオン材料から誘導された多孔性スピンオン誘電体膜などである。適したGFD膜の市販の具体例は、Honeywell Microelectronic Materials Incによって製造されるNanoglassTM、IBM Corporationによって開発された材料であるDendriglassTM、およびDow Corning Incによって開発されたXLK(登録商標)誘電体である。上述したギャップ充填および平坦化に関する条件を満たす限り、他の極低k材料および他の付着方法を用いてもよい。ギャップ充填後のこの段階における構造を図2cに示す。ここにおいて、ライン間のギャップ充填誘電体を2230で示し、オーバーバーデン領域を2240で示す。
次に、ライン上部を覆う余分なGFDオーバーバーデン2240を、CMP,単一工程RIEまたは2工程RIE,あるいはこれらの組み合わせによって除去し、図2dに示す構造を最終結果物とする。ここにおいて、GFDの上部表面は相互接続ラインの上部表面と共面であり、GFDの領域2230だけが、構造内に残存している。IMD/ハード・マスク・スタックの上に配された銅のCMPに容易には耐えられない極低k誘電体膜の多くを、上述したCMPプロセスによって直接研磨し平坦化できることが示された。
CMPは十分に開発されているが、まばらに金属充填された一部の領域において金属ラインの周囲のGFDをディッシングするという問題を免れない。次のレベル上の金属はディッシングされた領域に配置されやすく、短絡の問題が結果として生じる。代案は、CMPおよびRIEの組合わせの使用である。充填工程の後、短時間タッチアップCMPを用いて過充填された誘電体を全体的に平坦化し、図2cに示す構造を残す。RIE工程は余分なGFDを除去し、図2dに示す構造を残す。有益なことに、CMPは、相互接続およびそのコンフォーマル・コーティングと比べて比較的容易に研磨されるGFDに対して直接実行される。たとえGFDがもろいと認められていても、GFDは予想外にCMPに適していることが分かった。GFD材料の脆弱さを考慮すると、CMPスラリは化学的に非常にマイルドであることが好ましく、材料の除去は適度の機械的動作によって主に達成されるのが好ましい。
代わりに、エッチング予測プロセスを用いる。このプロセスにおいて、放射線源2242が、誘電体に優先して金属相互接続の上部表面から反射する放射線ビームを出射する。検出器2244は反射した放射線を監視し、GFDの残存厚さが基準量を下回ったとき、制御部2246へエッチング切替信号を送る。汎用コンピュータとすることができる制御部2246は、次に、エッチング・システムを制御して、(より高速の材料除去を意味する)第一のアグレッシブ・エッチングから、GFD2230を大きくはアタックすなわちディッシングしない第二の低アグレッシブ・エッチングへ切り替える。多くの一般的な検出手法を用いることができ、エッチング・ガスが金属と反応するときに放たれる反応生成物を検出する発光(optical emission)が簡単な手法である。この手法は光源の必要性を回避できるが、相互接続に対するダメージのリスクを伴う。好ましくは、終点予測システムを用いる。このシステムにおいて、上にある誘電体の厚さを監視し、エッチング・プロセスの1以上のパラメータ(例えば反応物ガス流量の低減,反応物ガス化学物質の変更,バイアス電圧の低減,または当業者に周知の他の手法)を変更して、金属の上部表面が露出する前に、エッチング速度を、第一の(高アグレッシブ)エッチング・プロセスから、低アグレッシブであり相互接続構造にアタックしないすなわちGFDをディッシングしない第二のエッチング・プロセスへスローダウンさせる。化学物質または他のパラメータを低アグレッシブ・プロセスへ切り替えることにより、相互接続材料および/またはライナ材料へのダメージを避けることができ、同様にディッシング問題を避けることができる。スペクトル楕円偏光法,インターフェロメトリ(レーザ,発光,またはフィルタ・ブロード・バンド・ストローブ)のような手法を終点プロセスに用いることができるが、楕円偏光法と比べた光学上のアクセス要件の容易さのためにインターフェロメトリが好ましい。オーバーバーデン除去プロセスの選択は、スピード対コスト,ダメージ等のトレードオフに依存する。
次に、図2dの得られたEBGF構造を、キャップ層2220でキャップする。キャップ層2220は、従来の方法で用いられた層1220と同様であり、銅拡散バリアとして機能する。キャップ層は、拡散バリア機能を補足して、Cuと上述した任意の封止バリアに由来する酸素とをブロックすることができる。任意の封止バリアが用いられないEBGF構造において、当該キャップはこれらの機能を完全に提供する。実効誘電率のさらなる低減と関連して後述するように、様々なキャップ・オプションが可能である。次に、上述したデュアル・ダマシン・ビルド,エッチバック,およびギャップ充填のプロセスを必要に応じてくり返して図2eに示す多重レベル相互接続構造を形成する。ここに述べた本発明の方法は、従来のDDプロセスにおいて問題が生じやすかった極低k誘電体の全てのプロセス工程または露出を回避したことに留意されたい。すなわち、極低k誘電体のRIEパターニング、極低k誘電体とフォトレジストおよび可能なレジストのポイズニングとの間のコンタクト、そして誘電体スタック上金属からのCMPデラミネーションである。加えて、以下の理由により、EBGF相互接続構造は最新のDD構造と比べて低い実効誘電率を有する。(1)従来技術によっては実現できない極低k誘電体の集積が可能になる。(2)極低k膜がプラズマ・プロセス露光または他の過酷なプロセス露出によるダメージを受けないので極低k膜のkが保持される。(3)IMDより従来高kであるハード・マスク層および任意のエッチ・ストップ層が最終構造から除かれる。この方法は、極低k誘電体を備え、用いられる堅固なライン支持材料に基づいて従来のDD方法と比べて堅固な相互接続構造をもたらす。ライン支持材料2120は、GFDより高いk値を有し得るが、全体の相互接続キャパシタンスに及ぼすその影響はそれほど大きくない。というのは、ライン支持材料2120はラインの間ではなく下にのみ存在し、上述した3つの側面によってそれ以上に相殺されるからである。
ラインの上にのみ存在するようにキャップを制限し、ライン間からキャップを除去することにより、相互接続構造の実効誘電率の追加の低減を実現できる。他のオプションは、相互接続ライン上の選択的キャップと、ラインおよびGFDの全平坦化表面上の第2の低k連続的キャップとの組み合せを含み、バリア機能をさらに拡張する。これらのオプションは、任意のコンフォーマル・バリア層を用いない場合に特に重要である。
他の代替の実施形態において、構造の端から端まで、堅固な支持誘電体を部分的にのみエッチバックして、ライン・トレンチのちょうど底部まであるいは当該底部以下へ支持誘電体をリセスし上述したギャップ充填および平坦化を続けることによって、より堅固な支持を極低k誘電体ギャップ充填材料へ与えることが可能である。選択的キャップおよび連続的キャップを備える得られた最終構造を、それぞれ図3aおよび3bに示す。下部IMD1110が図を横切ってずっと延び、水平方向の物理的強度を与える。これらの構造は、図2eに示す構造より物理的に堅固であるが、実効誘電率がわずかに高く、したがって相互接続性能がわずかに劣る。
ギャップ充填材料が、エッチバック・プロセスによって生成されたナローギャップに確実に行き渡り充填できる必要があるという点において、本発明の方法および構造に用いられるギャップ充填材料の選択に対する要件が存在する。加えて、ギャップ充填材料が充填工程および任意の硬化工程の後に高度の平坦度を示すことが好ましい。当業者は、膜付着および硬化のプロセス・スケジュールとCMPプロセス条件とを調整して、いくぶんもろい相互接続ラインへのダメージなしに最適のギャップ充填および平坦化を容易に実現できる。これら様々な要素の適切な選択により、エッチバックおよびギャップ充填方法によって、許容できる電気的歩留りを有する多重レベル相互接続構造をビルドすることができる。
材料の選択に従って、パラメータは変化し得るので、実施者は、本開示の趣旨および範囲から外れることなしに、本開示にかんがみて、製造業者によって推奨されたプロセスを調整することができる。
図4aに示す従来の標準的なデュアル・ダマシン配線構造と図4bに示す本発明の方法のエッチバックおよびギャップ充填構造との実効誘電率をモデル化した。この計算は、それぞれのケースにおいて同様に作成された上方配線レベル(金属3)および下方配線レベル(金属1)の存在下において示される金属2レベルの配線をモデル化する。一定のレベル内の配線は、上方および下方のレベル内の配線と直交して延びると仮定される。横方向および垂直方向のキャパシタンスを計算して足す。典型的には、横方向のキャパシタンスを2回足して、同レベル内にある隣接する配線からの寄与を表す。それぞれのケースの総キャパシタンスを、k値1を有すると仮定される空気で全ての誘電体を置き換えた同一構造について計算されたキャパシタンス値へ正規化する。双方の構造において、2.65のkを有する堅固な支持誘電体を、4.5のkを有するキャップ層と共に用いる。本発明の構造に用いられるギャップ充填材料は2.1のkを有する。計算に用いられた他方の従来構造のパラメータは以下のとおりである。すなわち、ハード・マスクの厚さ35nm,k=4.5、キャップ層の厚さ50nm,k=4.8、ライン・レベルおよびバイア・レベルの厚さそれぞれ300nm、ラインの幅および間隔130nmである。本計算によって、従来の構造に関して2.85の実効k値、そして本発明の構造に関して2.5の実効k値がもたらされた。これは、性能の著しい向上を表す。より低kのギャップ充填誘電体を用いることにより、そして選択的キャップ層を用いることにより、さらなる向上が可能である。
まとめとして、本発明の構成に関して以下の事項を開示する。
(1)集積回路内に相互接続構造を製造するためのエッチバックおよびギャップ充填方法であって、a)支持表面上に支持誘電体を付着する工程と、b)前記支持表面から垂直距離分離れた下側表面を少なくとも一部が有する一組の相互接続開口を、前記支持誘電体内に形成する工程と、c)導電相互接続材料で前記一組の相互接続開口を充填することによって一組の配線フィーチャを形成し、前記配線フィーチャの上部表面が前記支持誘電体の上部表面とほぼ共面になるように平坦化し、前記下側表面の下の前記支持誘電体の支持部によって前記配線フィーチャの少なくとも一部を支持する工程と、d)前記配線フィーチャをマスクとして用いる方向性エッチングで前記支持誘電体をエッチングして、前記配線フィーチャの下の前記支持部の構造内にのみ前記支持誘電体を残す工程と、e)前記一組の配線フィーチャの上にギャップ充填誘電体材料を付着して、前記一組の配線フィーチャの間のギャップを前記ギャップ充填誘電体で充填する工程と、f)前記一組の配線フィーチャの上部表面が前記ギャップ充填誘電体の上部表面とほぼ共面になるまで前記ギャップ充填誘電体を平坦化する工程と、を含む方法。
(2)前記ギャップ充填誘電体を平坦化する工程は、化学機械研磨によって実行される上記(1)記載の方法。
(3)前記ギャップ充填誘電体を平坦化する工程は、第一のエッチング・プロセスおよび前記第一のエッチング・プロセスより低アグレッシブな第二のエッチング・プロセスより成るエッチング・プロセスと、終点検出システムを用いて前記第一のエッチング・プロセスを監視して前記一組の配線フィーチャが露出する前に前記第二のエッチング・プロセスへ切り替える工程と、前記一組の配線フィーチャの上部表面が前記ギャップ充填誘電体の上部表面とほぼ共面になるまで前記第二のエッチング・プロセスを持続する工程と、をさらに含む上記(1)記載の方法。
(4)前記ギャップ充填誘電体を付着する工程の前に、前記一組の配線フィーチャの上にコンフォーマル封止層を付着する工程をさらに含む上記(2)記載の方法。
(5)前記封止層の材料は酸素および銅をブロックし、銅相互接続材料を前記配線フィーチャ内部に封止し、酸素を前記配線フィーチャに侵入させない上記(4)記載の方法。
(6)前記封止層の材料は、SiCH,SiNCH,Si34 ,SiCOH,およびSiO2より成るグループから選択される上記(5)記載の方法。
(7)前記配線フィーチャ上の前記ギャップ充填誘電体の厚さが基準量を下回ったときにエッチング切替信号を出力するインターフェロメトリ・システムによって前記監視を実行し、前記エッチング切替信号に応答して、前記第一のエッチング・プロセスを停止し、前記第二のエッチング・プロセスを開始する上記(3)記載の方法。
(8)前記ギャップ充填誘電体は、少なくともメチルシルセスキオキサン,ヒドリドシルセスキオキサン,および混合シルセスキオキサンを含むソリッドおよびポーラスのスピンオンガラス、シリコンと炭素,水素,酸素,および窒素のうちの少なくとも1つとを含むソリッドおよびポーラスのアモルファス水素化誘電体膜、少なくともポリイミド,ベンゾシクロブテン,ポリベンゾオキサゾール,および,ポリフェニレンエーテルを主成分とする芳香族熱硬化型ポリマを含むソリッドおよびポーラスのスピンオン有機誘電体、少なくともポリパラキシリレンを含むソリッドおよびポーラスの化学蒸着ポリマ、およびソリッドおよびポーラスのこれらの組み合せ、より成るグループから選択される上記(2)記載の方法。
(9)エッチングにより前記ギャップ充填誘電体を平坦化する工程の前に実行されるCMP工程をさらに含む上記(3)記載の方法。
(10)基板を備え、前記基板は、前記基板の上に配された少なくとも1つの相互接続層を有し、前記相互接続層は、1組の導電バイアと、前記1組のバイアの上に配され前記1組のバイアに接続された1組の導電水平相互接続部材とを有し、前記水平相互接続部材は、第一の誘電率を有し前記1組のバイアの下側表面から前記水平相互接続部材の下側表面まで垂直に延び前記水平相互接続部材の下に水平に延びる支持誘電体によって支持され、ギャップ充填誘電体を備え、前記ギャップ充填誘電体は、前記第一の誘電率より値が低い第二の誘電率を有し、前記1組の水平相互接続部材の間のギャップを充填する構造。
(11)前記基板は、半導体デバイス・チップおよびチップ・キャリアより成るグループから選択される上記(10)記載の構造。
(12)前記水平相互接続部材は導電バリア材料と高導電充填材料とを含み、前記導電バリア材料は、Ti,Ta,Cr,W,Zr,Hf,およびこれらの導電酸化物,窒化物,オキシナイトライド,炭窒化物(carbo-nitride),ケイ窒化物(silico-nitride)より成るグループから選択される上記(10)記載の構造。
(13)前記高導電充填材料は、Cu,Al,Au,およびWより成るグループから選択される上記(12)記載の構造。
(14)前記支持誘電体材料は、ソリッドおよびポーラスの二酸化シリコン,ソリッドおよびポーラスのフッ化シリコンオキサイド、シリコンと炭素,酸素,水素および窒素のうちの少なくとも1つとを含むソリッドおよびポーラスのアモルファス水素化誘電体膜、テトラエチルオルソシリケート,メチルシルセスキオキサン,ヒドリドシルセスキオキサン,および混合セルセスキオキサンから準備されたソリッドおよびポーラスのスピンオンガラス膜、ソリッドおよびポーラスのダイアモンドライクカーボン、少なくともポリイミド,ベンゾシクロブテン,ポリベンゾオキサゾール,およびポリフェニレンエーテルを主成分とする芳香族熱硬化型ポリマのうちの1つを含むソリッドおよびポーラスのスピンオン有機誘電体、および少なくともポリパラキシリレンを含むソリッドおよびポーラスの化学蒸着ポリマ、より成るグループから選択される上記(10)記載の構造。
(15)前記低誘電率ギャップ充填誘電体は、前記支持誘電体と異なり、少なくともメチルシルセスキオキサン,ヒドリドシルセスキオキサン,および混合シルセスキオキサンを含むソリッドおよびポーラスのスピンオンガラス、シリコンと炭素,水素,酸素,および窒素のうちの少なくとも1つとを含むソリッドおよびポーラスのアモルファス水素化誘電体膜、少なくともポリイミド,ベンゾシクロブテン,ポリベンゾオキサゾール,ポリフェニレンエーテルを主成分とする芳香族熱硬化型ポリマを含むソリッドおよびポーラスのスピンオン有機誘電体、少なくともポリパラキシリレンを含むソリッドおよびポーラスの化学蒸着ポリマ、より成るグループから選択される上記(10)記載の構造。
(16)前記キャップ層は、前記1組の水平相互接続部材の上のみに形成される上記(10)記載の構造。
(17)(a)窒化シリコン,炭化シリコン,炭窒化シリコンのアモルファス水素化絶縁体膜、(b)Ti,Ta,Cr,W,Zr,Hf,これらの導電酸化物,窒化物,オキシナイトライド,炭窒化物,ケイ窒化物,およびこれらの組み合せ、(c)Co−W−P,Co−Sn−P,Co−Ni−P,およびCo−Pの合金、(d)グループ(a)からの絶縁膜と、グループ(b)および(c)からの導電膜との組み合せ、より成るグループから前記キャップ層が選択される上記(16)記載の構造。
(18)前記水平相互接続部材と前記ギャップ充填誘電体との上部表面を覆うキャップ層をさらに備える上記(10)記載の構造。
(19)第一のキャップ層が前記水平相互接続部材の上部表面上のみに形成され、第二のキャップ層が前記ギャップ充填誘電体の上部表面上と、前記水平相互接続部材の上部表面上とに形成される上記(18)記載の構造。
(20)(a)窒化シリコン,炭化シリコン,炭窒化シリコンのアモルファス水素化絶縁体膜、(b)Ti,Ta,Cr,W,Zr,Hf,これらの導電窒化物,酸化物,オキシナイトライド,炭窒化物,ケイ窒化物,およびこれらの組み合せ、(c)Co−W−P,Co−Sn−P,Co−Ni−P,およびCo−Pの合金、(d)グループ(a)からの絶縁膜とグループ(b)および(c)からの導電膜との組み合せ、より成るグループから前記第一のキャップ層が選択される上記(19)記載の構造。
(21)窒化シリコン,炭化シリコン,および炭窒化シリコンのアモルファス水素化絶縁体膜より成るグループから前記第二のキャップ層が選択される上記(19)記載の構造。
(22)相互の上に配された少なくとも2つの配線層と、最終レベルの相互接続配線層とを有する基板を備え、前記配線とバイアが第二の支持誘電体によって完全に囲まれる上記(10)記載の多重レベル構造。
(23)前記第二の支持誘電体は、二酸化シリコン,フッ化シリコンオキサイド、少なくともシリコン,炭素,酸素,水素,および窒素のうちの1つを含むアモルファス水素化誘電体膜、テトラエチルオルソシリケート,メチルシルセスキオキサン,ヒドリドシルセスキオキサン,および混合シルセスキオキサンから準備されたスピンオンガラス膜、ダイアモンドライクカーボン、少なくともポリイミド,ベンゾシクロブテン,ポリベンゾオキサゾール,ポリフェニレンエーテルを主成分とする芳香族熱硬化型ポリマを含むスピンオン有機誘電体、少なくともポリパラキシリレンを含む化学蒸着ポリマ、およびこれらの組み合せ、より成るグループから選択される上記(22)記載の構造。
(24)前記支持誘電体は、前記1組の導電バイアおよび前記1組の導電水平相互接続部材をまたがって横に延び、前記ギャップ充填誘電体は、前記支持誘電体の上方に限って前記水平相互接続部材間のギャップを充填する上記(10)記載の構造。
(25)前記支持誘電体の上部表面は、前記導電水平相互接続部材の底部表面と前記1組の導電バイアの底部表面との間に位置する上記(24)記載の構造。
(26)前記支持誘電体は、前記1組の導電水平相互接続部材の下を横に延び、前記ギャップ充填誘電体は、前記1組のバイアの前記上部表面のレベルに至るまで下方へ前記水平相互接続部材の間のギャップを充填する上記(10)記載の構造。
従来の銅相互接続を製造するためのデュアル・ダマシン・プロセス・フローを説明する図である。 従来の銅相互接続を製造するためのデュアル・ダマシン・プロセス・フローを説明する図である。 従来の銅相互接続を製造するためのデュアル・ダマシン・プロセス・フローを説明する図である。 従来の銅相互接続を製造するためのデュアル・ダマシン・プロセス・フローを説明する図である。 従来の銅相互接続を製造するためのデュアル・ダマシン・プロセス・フローを説明する図である。 従来の銅相互接続を製造するためのデュアル・ダマシン・プロセス・フローを説明する図である。 従来の銅相互接続を製造するためのデュアル・ダマシン・プロセス・フローを説明する図である。 完全なエッチバックおよびギャップ充填プロセス・フローを用いる本発明の方法を説明し、極低実効誘電率を有する得られた相互接続構造を示す図である。 完全なエッチバックおよびギャップ充填プロセス・フローを用いる本発明の方法を説明し、極低実効誘電率を有する得られた相互接続構造を示す図である。 完全なエッチバックおよびギャップ充填プロセス・フローを用いる本発明の方法を説明し、極低実効誘電率を有する得られた相互接続構造を示す図である。 完全なエッチバックおよびギャップ充填プロセス・フローを用いる本発明の方法を説明し、極低実効誘電率を有する得られた相互接続構造を示す図である。 完全なエッチバックおよびギャップ充填プロセス・フローを用いる本発明の方法を説明し、極低実効誘電率を有する得られた相互接続構造を示す図である。 部分的エッチバックおよびギャップ充填プロセス・フローを用いる本発明の方法を説明し、極低実効誘電率を有する得られた相互接続構造を示す図である。 部分的エッチバックおよびギャップ充填プロセス・フローを用いる本発明の方法を説明し、極低実効誘電率を有する得られた相互接続構造を示す図である。 k実効値計算に用いられる従来の構造を示す図である。 k実効値計算に用いられる本発明に係る完全なエッチバック・ギャップ充填構造を示す図である。
符号の説明
1100 基板
1110 金属間誘電体
1120 金属間誘電体
1130 ハード・マスク層あるいは層状スタック
1150 ライン
1170 バイア
1180 バイア開口部
1190 デュアル・ダマシン・トレンチおよびバイア構造
1200 導電ライナ材料または材料スタック
1210 導電充填材料
1220 キャップ材料
1500 フォトレジスト
1510 フォトレジスト層
2120 支持誘電体
2220 キャップ層
2230 ギャップ充填誘電体
2240 オーバーバーデン領域
2242 放射線源
2244 検出器
2246 制御部
2250 コンフォーマル封止層

Claims (7)

  1. 集積回路内に相互接続構造を製造するためのエッチバックおよびギャップ充填方法であって、
    a)支持表面上に支持誘電体を付着する工程と、
    b)前記支持表面から垂直距離分離れた下側表面を少なくとも一部が有する一組の相互接続開口を、前記支持誘電体内に形成する工程と、
    c)導電相互接続材料で前記一組の相互接続開口を充填することによって一組の配線フィーチャを形成し、前記配線フィーチャの上部表面が前記支持誘電体の上部表面とほぼ共面になるように平坦化し、前記下側表面の下の前記支持誘電体の支持部によって前記配線フィーチャの少なくとも一部を支持する工程と、
    d)前記配線フィーチャをマスクとして用いる方向性エッチングで前記支持誘電体をエッチングして、前記配線フィーチャの下の前記支持部の構造内にのみ前記支持誘電体を残す工程と、
    e)前記一組の配線フィーチャの上にギャップ充填誘電体材料を付着して、前記一組の配線フィーチャの間のギャップを前記ギャップ充填誘電体で充填する工程と、
    f)前記一組の配線フィーチャの上部表面が前記ギャップ充填誘電体の上部表面とほぼ共面になるまで前記ギャップ充填誘電体を平坦化する工程であって、第一のエッチング・プロセスおよび前記第一のエッチング・プロセスより低アグレッシブな第二のエッチング・プロセスより成るエッチング・プロセスと、終点検出システムを用いて前記第一のエッチング・プロセスを監視して前記一組の配線フィーチャが露出する前に前記第二のエッチング・プロセスへ切り替える工程と、前記一組の配線フィーチャの上部表面が前記ギャップ充填誘電体の上部表面とほぼ共面になるまで前記第二のエッチング・プロセスを持続する工程と、を含む前記ギャップ充填誘電体を平坦化する工程と、
    を含む前記方法。
  2. 前記ギャップ充填誘電体を付着する工程の前に、前記一組の配線フィーチャの上にコンフォーマル封止層を付着する工程をさらに含む請求項記載の方法。
  3. 前記封止層の材料は酸素および銅をブロックし、銅相互接続材料を前記配線フィーチャ内部に封止し、酸素を前記配線フィーチャに侵入させない請求項記載の方法。
  4. 前記封止層の材料は、SiCH,SiNCH,Si34 ,SiCOH,およびSiO2より成るグループから選択される請求項記載の方法。
  5. 前記配線フィーチャ上の前記ギャップ充填誘電体の厚さが基準量を下回ったときにエッチング切替信号を出力するインターフェロメトリ・システムによって前記監視を実行し、前記エッチング切替信号に応答して、前記第一のエッチング・プロセスを停止し、前記第二のエッチング・プロセスを開始する請求項記載の方法。
  6. 前記ギャップ充填誘電体は、少なくともメチルシルセスキオキサン,ヒドリドシルセスキオキサン,および混合シルセスキオキサンを含むソリッドおよびポーラスのスピンオンガラス、シリコンと炭素,水素,酸素,および窒素のうちの少なくとも1つとを含むソリッドおよびポーラスのアモルファス水素化誘電体膜、少なくともポリイミド,ベンゾシクロブテン,ポリベンゾオキサゾール,および,ポリフェニレンエーテルを主成分とする芳香族熱硬化型ポリマを含むソリッドおよびポーラスのスピンオン有機誘電体、少なくともポリパラキシリレンを含むソリッドおよびポーラスの化学蒸着ポリマ、およびソリッドおよびポーラスのこれらの組み合せ、より成るグループから選択される請求項記載の方法。
  7. エッチングにより前記ギャップ充填誘電体を平坦化する工程の前に実行されるCMP工程をさらに含む請求項記載の方法。
JP2003313299A 2002-10-24 2003-09-04 相互接続構造の製造方法およびその構造 Expired - Fee Related JP4006376B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/280,283 US7023093B2 (en) 2002-10-24 2002-10-24 Very low effective dielectric constant interconnect Structures and methods for fabricating the same

Publications (2)

Publication Number Publication Date
JP2004146800A JP2004146800A (ja) 2004-05-20
JP4006376B2 true JP4006376B2 (ja) 2007-11-14

Family

ID=32174595

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003313299A Expired - Fee Related JP4006376B2 (ja) 2002-10-24 2003-09-04 相互接続構造の製造方法およびその構造

Country Status (4)

Country Link
US (2) US7023093B2 (ja)
JP (1) JP4006376B2 (ja)
CN (1) CN1302533C (ja)
TW (1) TWI233181B (ja)

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7235466B2 (en) * 2002-10-31 2007-06-26 Au Optronics Corporation Method of fabricating a polysilicon layer
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US6939817B2 (en) * 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US7368804B2 (en) * 2003-05-16 2008-05-06 Infineon Technologies Ag Method and apparatus of stress relief in semiconductor structures
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR100564801B1 (ko) * 2003-12-30 2006-03-28 동부아남반도체 주식회사 반도체 제조 방법
KR100573897B1 (ko) * 2003-12-30 2006-04-26 동부일렉트로닉스 주식회사 반도체 제조 방법
KR100538444B1 (ko) * 2003-12-31 2005-12-22 동부아남반도체 주식회사 비아 홀 및 트렌치 형성 방법
US7094661B2 (en) * 2004-03-31 2006-08-22 Dielectric Systems, Inc. Single and dual damascene techniques utilizing composite polymer dielectric film
US7309395B2 (en) * 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
US7226852B1 (en) * 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US20060035457A1 (en) * 2004-08-10 2006-02-16 Carter Richard J Interconnection capacitance reduction
US20060216924A1 (en) * 2005-03-28 2006-09-28 Zhen-Cheng Wu BEOL integration scheme for etching damage free ELK
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US20060275547A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US20070080461A1 (en) * 2005-10-11 2007-04-12 Taiwan Semiconductor Manufacturing Comapny, Ltd. Ultra low-k dielectric in damascene structures
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
US7218802B1 (en) 2005-11-30 2007-05-15 Corning Incorporated Low drift planar waveguide grating sensor and method for manufacturing same
US20070145453A1 (en) * 2005-12-23 2007-06-28 Xerox Corporation Dielectric layer for electronic devices
US8514147B2 (en) * 2006-11-22 2013-08-20 Nec Tokin Corporation EBG structure, antenna device, RFID tag, noise filter, noise absorptive sheet and wiring board with noise absorption function
CN101471324B (zh) * 2007-12-26 2010-07-07 和舰科技(苏州)有限公司 一种超低k互连结构及其制造方法
JP5331443B2 (ja) * 2008-10-29 2013-10-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US20100176513A1 (en) * 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
US7723227B1 (en) * 2009-03-24 2010-05-25 Micron Technology, Inc. Methods of forming copper-comprising conductive lines in the fabrication of integrated circuitry
US8237191B2 (en) 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
CN103081093B (zh) 2010-06-11 2015-06-03 科洛斯巴股份有限公司 存储器件的柱结构以及方法
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US8841196B1 (en) 2010-09-29 2014-09-23 Crossbar, Inc. Selective deposition of silver for non-volatile memory device fabrication
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US8391049B2 (en) 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8187945B2 (en) 2010-10-27 2012-05-29 Crossbar, Inc. Method for obtaining smooth, continuous silver film
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
US8258020B2 (en) * 2010-11-04 2012-09-04 Crossbar Inc. Interconnects for stacked non-volatile memory device and method
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US8450710B2 (en) 2011-05-27 2013-05-28 Crossbar, Inc. Low temperature p+ silicon junction material for a non-volatile memory device
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US8659929B2 (en) 2011-06-30 2014-02-25 Crossbar, Inc. Amorphous silicon RRAM with non-linear device and operation
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
EP2735028A4 (en) 2011-07-22 2015-05-06 Crossbar Inc SEALING LAYER FOR SILICON-GERMANIUM P + MATERIAL FOR REMAINING MEMORY DEVICE AND ASSOCIATED METHOD
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
CN102446892B (zh) * 2011-10-12 2013-06-26 上海华力微电子有限公司 一种金属-氧化物-金属电容及其制作方法
CN103094196B (zh) * 2011-11-02 2016-02-03 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN103094197B (zh) * 2011-11-02 2015-11-25 中芯国际集成电路制造(上海)有限公司 互连结构制造方法
CN102683268A (zh) * 2012-02-28 2012-09-19 上海华力微电子有限公司 具超低介电常数层间介电质的双大马士革结构的形成方法
US8716098B1 (en) 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8946667B1 (en) 2012-04-13 2015-02-03 Crossbar, Inc. Barrier structure for a silver based RRAM and method
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US9070859B1 (en) 2012-05-25 2015-06-30 Crossbar, Inc. Low temperature deposition method for polycrystalline silicon material for a non-volatile memory device
US8883603B1 (en) 2012-08-01 2014-11-11 Crossbar, Inc. Silver deposition method for a non-volatile memory device
US10096653B2 (en) 2012-08-14 2018-10-09 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US8796102B1 (en) 2012-08-29 2014-08-05 Crossbar, Inc. Device structure for a RRAM and method
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9312483B2 (en) 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
CN103839874B (zh) * 2012-11-21 2016-04-20 中芯国际集成电路制造(上海)有限公司 金属互连结构及其制作方法
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US9401329B2 (en) * 2013-03-12 2016-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9153538B2 (en) * 2013-08-22 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
KR102511354B1 (ko) * 2015-06-16 2023-03-21 삼성디스플레이 주식회사 유기 발광 표시장치 및 그의 제조방법
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9418886B1 (en) * 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
EP3563411B1 (en) 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) * 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
FR3065578B1 (fr) * 2017-04-19 2019-05-03 Primo1D Procede d'assemblage d'une puce microelectronique sur un element filaire
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10679934B2 (en) 2017-12-01 2020-06-09 International Business Machines Corporation Capacitance reduction in sea of lines BEOL metallization
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN112309958B (zh) * 2019-07-31 2023-04-07 长鑫存储技术有限公司 导电互连结构及其制备方法
US11164815B2 (en) 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
CN115088068A (zh) 2019-12-23 2022-09-20 伊文萨思粘合技术公司 用于接合结构的电冗余
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11024577B1 (en) 2020-01-17 2021-06-01 International Business Machines Corporation Embedded anti-fuses for small scale applications
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN117253850B (zh) * 2023-11-15 2024-02-02 合肥晶合集成电路股份有限公司 互连开口的形成方法以及互连结构的形成方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0176755B1 (ko) 1994-07-15 1999-05-01 구자홍 영상신호 처리기의 캡션라인 검출회로
US5559055A (en) * 1994-12-21 1996-09-24 Advanced Micro Devices, Inc. Method of decreased interlayer dielectric constant in a multilayer interconnect structure to increase device speed performance
US5559056A (en) * 1995-01-13 1996-09-24 National Semiconductor Corporation Method and apparatus for capping metallization layer
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
JP3065003B2 (ja) * 1997-11-27 2000-07-12 日本電気株式会社 半導体装置及びその製造方法
US6146986A (en) * 1999-01-08 2000-11-14 Lam Research Corporation Lithographic method for creating damascene metallization layers
JP3084367B1 (ja) * 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
US6355555B1 (en) * 2000-01-28 2002-03-12 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
JP2002299437A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法
TW550642B (en) * 2001-06-12 2003-09-01 Toshiba Corp Semiconductor device with multi-layer interconnect and method fabricating the same

Also Published As

Publication number Publication date
US7023093B2 (en) 2006-04-04
JP2004146800A (ja) 2004-05-20
TW200414429A (en) 2004-08-01
US20050186778A1 (en) 2005-08-25
US7045453B2 (en) 2006-05-16
CN1499606A (zh) 2004-05-26
CN1302533C (zh) 2007-02-28
US20040087135A1 (en) 2004-05-06
TWI233181B (en) 2005-05-21

Similar Documents

Publication Publication Date Title
JP4006376B2 (ja) 相互接続構造の製造方法およびその構造
EP1356509B1 (en) Structural reinforcement of highly porous low k dielectric films by cu diffusion barrier structures
US6479391B2 (en) Method for making a dual damascene interconnect using a multilayer hard mask
US6713873B1 (en) Adhesion between dielectric materials
US7135398B2 (en) Reliable low-k interconnect structure with hybrid dielectric
US6737747B2 (en) Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US7326650B2 (en) Method of etching dual damascene structure
US20060151887A1 (en) Interconnection structure having double diffusion barrier layer and method of fabricating the same
US7145245B2 (en) Low-k dielectric film with good mechanical strength that varies in local porosity depending on location on substrate—therein
US20040175932A1 (en) Method of forming a via contact structure using a dual damascene technique
US20070155165A1 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
JP2004241464A (ja) 半導体装置及びその製造方法
US6806551B2 (en) Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US20080251929A1 (en) Semiconductor Device and Semiconductor Device Manufacturing Method
US6930033B2 (en) Treating surface of low-dielectric constant material to achieve good mechanical strength
US6495448B1 (en) Dual damascene process
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
JP5047504B2 (ja) ビアキャッピング保護膜を使用する半導体素子のデュアルダマシン配線の製造方法
US6376367B1 (en) Method for manufacturing multilayer interconnects by forming a trench with an underlying through-hole in a low dielectric constant insulator layer
US7307014B2 (en) Method of forming a via contact structure using a dual damascene process
JP4472286B2 (ja) 変形されたデュアルダマシン工程を利用した半導体素子の金属配線形成方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070424

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070724

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070821

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070827

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100831

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100831

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110831

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120831

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130831

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees