TWI233181B - Very low effective dielectric constant interconnect Structures and methods for fabricating the same - Google Patents

Very low effective dielectric constant interconnect Structures and methods for fabricating the same Download PDF

Info

Publication number
TWI233181B
TWI233181B TW092129168A TW92129168A TWI233181B TW I233181 B TWI233181 B TW I233181B TW 092129168 A TW092129168 A TW 092129168A TW 92129168 A TW92129168 A TW 92129168A TW I233181 B TWI233181 B TW I233181B
Authority
TW
Taiwan
Prior art keywords
dielectric
group
conductive
interstitial
scope
Prior art date
Application number
TW092129168A
Other languages
English (en)
Other versions
TW200414429A (en
Inventor
Donald F Canaperi
Timothy J Dalton
Stephen M Gates
Mahadevaiyer Krishan
Satya V Nitta
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200414429A publication Critical patent/TW200414429A/zh
Application granted granted Critical
Publication of TWI233181B publication Critical patent/TWI233181B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1233181 玖、發明說明: 【發明所屬之技術領域】 本發明的領域係南性能積體電路與包裝積體電路中的「、緣 路後端(back end of the line ; BEOL)」互連。 【先前技術】 高性能微處理器、微控制器與通信晶片要求主動電晶體 裝置之間極高速度的互連,該等主動電晶體裝置係用於奮 施各種功能,如邏輯運作、儲存與擷取資料、提供控制传 號與此類功能。隨著電晶體裝置技術的進|步出現當今超大 型整合,該等高級晶片運作的總體速度開始受到晶片上個 別裝置之間的互連導線中信號傳播延遲之限制。互連中的 信號傳播延遲取決於RC乘積,其中R表示互連導線的電阻 ,〇表示互連方案的總電容,而導線鑲嵌於互連方案中。使 用銅代替A1作為互連導線材料可減少rc乘積中電阻的作 用。當今微電子行業的焦點係在晶片上建構多層互連結構 的過程中,使用電介常數(k)較低的絕緣體來減少互連電容。 製造如此小規模的互連佈線網路之先前技術方法係圖1 所示之雙重鑲嵌(dual damascene ; DD)製程。在標準的dd 製程中,圖la所示的金屬層間電介質(lnter metal dieiectnc ’ IMD)係/至佈於基板iiQ〇上的兩層111〇、1120。為清楚說 明處理流程,將通道級電介質丨11〇與線路級電介質】12〇單 獨顯示。一般而言,該等兩層可由相同或不同絕緣薄膜組 成,在17—種情況下,該層塗敷成一單一單石層。在歷史 上’兩層都係_由無機玻璃製成,如由電漿增強化學汽相沈 88349 1233181 和(plasma enhanced chemical vapor deposition ; PECVD)沈 積的二氧化矽(si〇2)或氟化的矽玻璃(flu〇nnated siHca glass ’FSG)薄膜。可選擇性地採用硬光罩層或分層堆疊1 I% 以便隨後圖案化步騾中的選擇性蝕刻,並可充當一研磨終 止層。線路互連網路由兩種特徵組成:線路特徵係可穿越 一檢跨晶片的特定距離,而通道特徵係其可將多級堆疊中 的不同層級互連中的線路連接在一起。 在雙重鑲嵌製程中,線路1150與通道117〇的位置係在一 「線路第一」方法的範例中以微影法定義丨。微影法可用於 定義光阻1500(圖lb)中的溝槽圖案115〇,及光阻層151〇(圖 1 c)中通道圖案117〇,可將該圖案轉移入電介材料,以產生 一通道開口 1180(圖Id)。圖le所示的係溝槽經過蝕刻並已剝 除光阻後,雙重鑲嵌溝槽輿通道結構119〇。凹陷結構u9〇 上i怖有導電觀塾材料或材料堆疊12 0 0,其可用於保護 導體金屬線路與通道,並充當導體與IIvID之間的黏合層。 隨後用導電填充材料1210填充圖案化基板表面上的凹陷。 居填充通^係藉由銅的電鍍完成,儘管亦可使用諸如化學 汽相沈積(chemical vapor deposition ; CVD)的其他方法以及 諸如A1或Au的其他材料。該填充與襯墊材料隨後可化學機 械拋光(chemical_mechanical polished ·; CMP)以與硬光罩的 表面共面,圖if所示的係該階段的結構。覆蓋材料122〇沈 積成一如圖1 g所描繪之毯狀膜,以鈍化曝露的金屬表面, 並充當一金屬層與即將沈積於其上的任何附加imd層之間 的擴散阻障。藉由PECVD沈積的氮化矽、碳化砂以及破氮 88349 I233181 化珍薄膜通常用作覆蓋材料 重複該製程序列。由於需同 —單一研磨步驟在一絕緣體 定為雙重鑲嵌法。 12 2 0。I置上的各級互連都需 時定義兩個互連特徵,以藉由 内形成一導體鑲嵌,該製程指 严低電容’有必要使用電介常數較低的電介質,如有 機聚合物’電t常數較低的PECVDg膜包括Si、C、〇糾 以及旋塗式有機輕鹽破璃’其電介常數值在25至30範園 内,而非基於電介質(電介常數為3 6至4 〇>的咖叩二氧化 碎。電介常數值可藉由在該等絕緣器内引;入乡孔,進一步 減少至2.2(電介常數超低),甚至低於2〇(電介常數極低/ 為簡明起見’在本文中,將該等電介常數超低的材料與電 介常數極端低的材料共稱為電介常數極低的材料。儘管以 該組電介常數極低的材料’可調整電介常數值的範圍,作 藉由上述雙錢嵌製程關互連整合該等㈣㈣在數個 困難。 首先,該等電介常數較低的電介質的彈性模數、斷裂章刃 度以及黏附強度遠低於二氧化矽或FS(}膜,且其對當前最 先進的銅互連中所使用的典型硬光罩層的黏性亦相應較差 。結果,當在雙重鑲嵌法互連構建中嘗試銅填充的〇^?時 ,分層會黏連性地出現於電介常數較低的弱材料中,或黏 附性地出現於電介常數極低的材料與硬光罩之間的介面上 。這就導致從製造與生產的角度而言,DD製程相當不切實 際0 其次,大多教電介常數極低的薄膜,尤其係有機矽酸鹽 88349 1233181 製成的薄膜,對電榘曝露極為敏感,因為石夕有機群組鍵結 (例如石夕曱基)的氧化或分裂的相對容易,會引起薄膜中與環 境中的水分發生反應之地點形成矽烷醇(Si_〇H)群組。矽烷 醇會吸收帥,並因此增加電介常數L的電介損耗因 素會因此大幅度削弱預期的電介常數極低薄膜之性能優勢 。由於反應性離子㈣與電衆#刻係形成上述雙重镶歲溝 槽與通這結構以及移除圖案化電介常數極低材料中所使用 〈光阻所需的關鍵步驟’即便可能在先前技術雙重镶嵌整 合中避免對該種薄膜之電漿的損壞,其將如極為困難。 第三’許多有機石夕酸鹽傾向於與高敏感光阻層(且係用於 足義良好互連圖案)發生反應,導致光阻的成像與解析能力 ,化。當在該等電介質的先前反應性離子姓刻表面上嘗試 例如’在定義光阻中的通道圖案之微影步驟中,該 光阻塗佈於一電介常數較低的電介質薄膜中的先前反應性 離子钱刻溝㈣案上,反之亦然),名為光阻毒化的 進一步惡化。 夺=’構成雙重鑲喪法互連結構的材料大幅度降低有效 數(帥。這是由於在結構中存在電介常數較高的硬 /復盖層。儘管可使用電介常數極低的金屬層間電 貝W有效電介常數(若可將其成功整合),但可獲得的 B減少會受到電介常數較高的電介質存在的限制评 ^質通常料圖!所述的硬光罩以及覆蓋層。因此,例如私 馬趣:電介常數從2 65減少至2 2(電介常數減知⑹時, 由万1寺電介.常數較高層的作用效果,㈣只從3㈣減少至 88349 1233181 2.68(只減少了 12%)。電介常數極低電介質的先前技術]〇]〇 整合的另一方面係可選擇使用反應性離子蝕刻終止層(圖工 中未顯示)’其置放於電介質111〇與112〇之間。該層的功能 係充當兩個層之間的RIE終止層,並因此更好地控制蝕刻的 深度以及線路溝槽的底部表面佈局。該等蝕刻終止層亦可 具有高於電介常數極低電介質的電介常數,並因此進一步 降低DD結構(其包含該等蝕刻終止層)的“打。此外,該等 硬光罩、覆盖層與蝕刻終止層的厚度並非隨著未來世代所 期待的互連層與IMD厚度的減少按比例變此。這是因為該 等層的可靠功能需要與IMD厚度無關的一最小厚度。結果 ,依據未來微電子互連結構所需的更精細準則,該等高電 介常數層的不利影響進一步加劇。 醉決部分上述部分問題的一先前技術方法係w卿継『 的吴國專利第6146986號。該專利揭示光阻用作通道開口以 及泉路溝;f曰之g品時層間電介質,將通道開口與線路溝槽填 充至屬,並如上所述之標準雙重鑲嵌製程進行平坦化處理 :刮除圍繞金屬的光阻,並用電介常數極低的電介薄膜填 无金屬特徵之間以及金屬特徵之下的所有開放空間。儘管 β万法避免了將電介常數極低電介質曝光於電蒙製程,但 ^ %路於某光阻處理中。*外,該製程的製造並不可靠 ’因為在剝離光阻之後’線路處於懸空狀㉟,只使用通道 ,支’牙在和除支撐光阻後,處置通道時極易碎,並很 /此夂形。此外,該電介常數極低的電介質的填充製程 在、泉路下填充’以提供支撐,而這可能難以實現。即 88349 -10- 1233181 使不出現該情況,最佳最終結構只會在線路周圍以及線路 足下的各處具有機械較弱的電介常數極低的電介質,這將 給在已填充並平坦化之結構的頂部上製造下一級互連:, 帶來與標準雙重鑲細磨製軸似的分料問題。 :此’顯而易見’需要—替代性整合方法來避免上述斑 先前技術結構相關聯之問題,並使用電介常數極低的議 材料獲得-機械強大的、keff較低的的互連結構。 逞需要在採用的各種製程步驟中避免損壞與增加電介常 數極低電介質的雷|赍壑 * 八〕包,丨吊數。另一需求係在貪屬化學機械拋 光步驟中’避免結構的分層。另—需求係消除光阻毒化問 題之可能性,㈣題係由綠與電介常數極低的電介材料 的互動而引起的。下述發明方法可滿足該等需求。 【發明内容】 。树明係關於—不具輔助結構之互連結構,辅助結構會 知《有效電介常數(keff)極低的金屬層間電介膜。 本發明的-特點係-種藉由最小化或消除互連結構中的 更光罩I虫刻終止層以及覆蓋層之作用來產生雌低之結 構的整合方法。 本餐明的另—特點係使用_電介常數較高之硬光罩製造 一層互連,隨後再將硬光罩移除。 、本發明的另一特點係纟互連線路下提供一支撐電介質, 以、&互連結構的機械強度。 【實施方式】 本‘明係關於用於電腦、微處理器、微控制器、感應器 88349 -11 - 1233181 、通仏裝置以及此類裝置的性能極高的微電子晶片。具體 而T,本文所逑之發明結構係關於該等晶片上的互連佈線 網路,其可大幅減少與該等導線相關聯之信號傳播延遲。 詳細說明並主張的發明方法可提供製造該等具有銅佈線以 及電介常數極低之電介質之高性能互連網路所需的整合步 驟。 所揭示的本發明方法稱為「回蝕與填隙」(Etchbackand GapFni ; EBGF)整合方案。該方法始於雙重鑲嵌(dd)互連 結構的製造,包括先前所述、圖丨所描繪破先前技術步驟。 使用金屬層間電介材料(lntermetal dleiectric matenak ; IMD)構建DD結構,該材料最好比電介常數極低的電介質 (其將隨後併入,說明如下)更強健。且兩個IMD層丨1 與 1120最好相同。以塗敷覆蓋層122〇之前的dd結構開始 EBGF製程。如圖2a所示,因此起始結構與㈣所示結構相口 同。首先’將具有DD層的基板載人—反應性離子餘刻室, 並使用適當之饋送氣體與電漿條件方向性蝕刻硬光罩堆疊 1130與圓層111〇以及112〇。選擇性進行敍刻製程,以便 以較優地較高速率餘刻硬光罩與,而不會雜同線路 與導電襯塾。 結果忒疋圖2b所示之結構,其中,所有的硬光罩堆疊1 1 % 以及不直接位於線路之下的丨M D層丨丨丨Q與丨丨2 q的區域被敍 刻掉留下樑’如位於互連線路之下的imd的線路支撐 區域2120(稱為支撐電介質),並將互連通道包圍。由於互連 線路1210具有_ 一與支擇表面的頂部分離之底部表面,其間 88349 -12 - 1233181 的垂直距離等於互連通道的高度,故支撐區域2 1 20在大多 數線路下延伸,且其厚度與垂直距離相等,因而提供了強 固的支撐。在該斷面圖中,兩個區域212〇將通道托住。在 通道前後,線路支撐區域將延伸線路1210的全部寬度。該 步驟稱為本發明製程的回蝕步驟,其會導致互連佈線與通 迢支杈在強健支撐電介材料的樑上。用於原始dd互連的佈 線金屬最好係銅,儘管諸如A1或其他材料亦可使用。 所使用的支撐金屬層間電介質最好係—有機聚合物,在形 成電介常數極低的電介薄膜所需的溫度(通常為400 t至 45〇°C)具有熱穩定性,並易於製造,以形成上述dd互連。 其餘的要求係選取的〖M D便於方向性電㈣刻製程触刻, 而不會損害銅線路或導電塾材料。該等有機聚合物的範例 ’其係一 D〇w化學公司生產的旋塗式芳香熱固 聚合物’ Flare™,_ H〇neyweU微電子材料公司生產的旋塗 式有機聚合物’以及藉由PE_積的非晶體氫化類鑽: (d隨ond hke carb〇n ; DLC)。其他材料如旋塗式玻璃、 二氧化Θ、氟氧切亦可用作該強健支撐層電切。多孔 性電介質亦可用作支撐電介質’只要其機械強度較以; 說明的電介常數極低電介質更強。 在311^情況下,回蝕步驟最好採用 万法,如形 與蚀刻輪廓最佳 成氣fa、氮、Ν·Η電聚或純氫電漿。選擇性添加的氧、⑺ 以及碳氟化合物亦可用於電漿饋送氣體,以使蝕刻選擇性 其次 "Γ實施回蝕結構的選擇性 式清洗與烘烤 以 88349 -13 - 1233181 除線路〈間的任何殘留物並/或清除可能已形成於線路頂 部上的殘留物或非揮發反應產物。可使用任何用於清除 SiLk或等效的低電介常數材料之有機溶劑,以形成一適於 下一層的良好黏附之清潔表面。 在製備表面後,可沈積一選擇性正形封裝層,其可覆蓋 互連材料的頂部,墊材料的側面及支撐材料212〇的側面以 及其下万層的上表面(其可以係ILD或覆蓋層的頂部)。封裝 層的材料需具有·· a) #Cll、ILD、支撐塾.材料的良好黏合 性,b)Cu的正形沈積與覆蓋;以及c)對隨|後將沈積的最終 填隙電介質的良好黏合性。 適當的材料係SlCH、SlNCH、氮化物(Sl3N4)、SlC〇H& 及氧化物(Si〇2)之非晶體薄膜。正形層的厚度應足夠充分以 P艮制銅以及阻斷氧,為說明起見,其約為5麵至2〇1谨。正 /材料最好係、I巴、緣骨豊,以減少短路對其他特徵造成的危 險。在打開上述層至所說明之層的一接觸(位於通道底部) 之V魟中打開通道的蝕刻步驟將只移除會形成電接觸區 或勺 '巴、彖正形層。熱悉本技術之人士可依據本揭示添加其 他材料至該清單。正形層的沈積製程可說明性為原子層沈 積,化學汽相沈積(CVD)或電漿增強CVD製程,可對其加以 調整以獲得良好的正形性。 因為銅封入互連結構,可靠 程對襯墊的損害亦減少。有利 對於CMP與蝕刻,比最終填隙 到保護,免於氧化與/或腐蝕。 性得到改進,CMP或蝕刻製 的係’正形封裝襯墊材料相 材料更耐用。此外,襯墊受 88349 -14 - 1233181 在下一步驟中,可用所需的電介常數極低電介質填充回 姓步驟中產生的_間隙。較佳的填隙製程係,使用旋塗 式電介質科解決方式,該方式具有諸如黏度與表面張力 的適當之流變學特性,以便完全滲透、潤濕並填充間隙, 則更塗佈線路頂部,從而當前導完全固化以形成電介常數 極低電介薄膜時,產生一具有少量過載的標稱平坦化結構 。需要薄膜填隙與平坦化的能力(其在固化期間無需重大壓 力構建),以維持已填充結構的整體性。此外,填隙電介質 (gap fill chelectnc ;GFD)的電介常數最一明顯低於支撐 21 20的電介常數,以減少相鄰線路之間的線路間電容。該 等填隙電介質的的範例包括基於甲基矽酸鹽、氫化矽酸鹽 、原矽fe四乙酯以及其混合物的旋塗式玻璃;基於苯環丁 烯的聚合物,·芳香熱固聚合物;以及產生於該等旋塗式材 料的多孔旋塗式電介薄膜,其係藉由合併孔的控制位準來 降低電介常數。某些可能之GFD薄膜的具體商務範例包括 Honeywell微電子材料公司製造的Nan〇glassTM、ΙβΜ公司開
發的材料DendriglassTM以及Dow Corning公司開發的XLICΊ M €介質。亦可使用其他電介常數極低材料以及其他沈積方 法’只要其符合上述關於填隙與平坦化的附帶條件。圖2c 描繪的係在填隙後的該階段的結構,其中線路之間的填隙 電;丨貝由2230表示’而過載區域則由2240表示。 其次,CMP將覆蓋線路頂部的過多的gfd過載2240移除 ,即一或兩步的RIE或兩者之組合,以使最終結果為圖2d 所示之結構,·其中GFD的頂部表面與互連線路的頂部表面 88349 -15 - 1233181 共面’只有GFD的區域2230現依然留在結構中。已發現, 許多不易經受對置放於IMD/硬光罩堆疊上的銅CMp之電介 常數極低的電介質薄膜,可由上述CMp製程直接研磨與平 坦化。 儘管CMP開發地很好,其會受制於某些稀疏金屬填充區 域中金屬線路周圍的GFD碟化問題。下一級金屬傾向於位 於碟形區域内,隨後導致短路問題。—替代方法係使用CMp 與RIE的組合。在填充步騾之後,藉由對CMp的一短暫接觸 將溢出的電介質整體平坦化,從而形成圖|2c所示之結構。 RIE步驟可移除多餘之GFD,從而形成圖2d所示之結構。有 利的係,CMP係直接在GFD上實施,其比互連以及其正形 塗佈易於研磨。儘管已知GFD較為脆弱,但意外發現其適 元CMP。鑒:於GFD材料的脆弱性,CMP研磨液化學性質最 好係極為溫和,材料移除主要會受到溫和機械動作的影響。 或者,使用一預測蝕刻製程,其中輻射源2242會放射一 輻射光束,其最好從金屬互連的頂部表面上反射至電介質 。偵測器2244監視反射的輻射,並在GFD的剩餘厚度小於 一芩考量時,發送一蝕刻變化信號至控制2246。控制2246 可一係一通用電腦,其可控制蝕刻系統從第一強烈蝕刻(即 材料移除更快)切換至第二個不太強烈的蝕刻(其不會大幅 度地侵襲或碟化GFD 2230)。可採用數項傳統偵測技術,一 車乂為簡單的技術係光學放射,其可偵測蝕刻氣體與金屬發 生反應時放射出的反應產物。該技術可避免對光源之需求 有知壞互連之風險。最好使用一預測終點系統,其 88349 -16 - 1233181 復1 % 貝的厚度雙到監視,且改變一或多個蝕刻製 私 > 數(例如減少反應物氣流、改變反應物氣體的化學特性 減7偏壓兒壓或其他本技術中熟知之技術),以在金屬的 頂部表面從第-(較強烈)蝕刻製程曝露至第二製程(不太強 烈’因此不會侵襲互連結構或碟化gfd)之前減慢餘刻速率 二藉由改變化學或其他參數,就一不太強烈製程而言,可 U兄對互連材料與/或襯塾材料的損壞,並避免淺碟化之問 題。可在終點製程中使用諸如㈣橢圓光度法、干涉測量 法(雷^、光學放射或滤波器寬頻選通)之技術,最好使用干 ㈣量法,因為光學接人的要求比橢圓光度法的要求寬鬆 。堤擇過載移除製程係取決於速度對成本、損壞等方面之 權衡。 所產生的圖2d中的EBGF結構隨後覆蓋有-覆蓋層2220 ’該層類似於先前技術方法中所採用的層122(),其可充當 -銅擴散阻障。該覆蓋層可補充擴散阻障之功能,以阻: 從上述選擇性封裝阻障中產生的Cu與氧。在不使用選擇性 封裝阻障的EBGF結構巾,覆蓋層可提供料該等功能。其 他覆蓋層選擇亦可能,如下結合有效電介常數額外減少所 述的内容。隨後依需重複上述雙重構建製程、回蝕以及填 隙,以形成圖2e所描續的多級互連結構。應注意本文所述 之發明方>去已包括所有製程步驟或電介常數極低 曝光’其係傾向於出現在先前技術DD製程中的問題’即: 電介常數極低之電介質的RIE圖案化、電介常數極低的電介 質與光阻I間.的接觸、及可能之光阻毒化以及電介堆叠上 88349 -17- 1233181 的一金屬的CMP分層。此外,EBGFi連結構的有效電介常 數與最先進的DD結構相比較低,因為:⑴先前技術不可 能實現的電介常數極低的電介質整合成為了可能;因 薄膜未雙到電漿或其他粗糙製程曝露的損害,因此保持了 電介常數極低之薄膜的電介常數;以及(3)將硬光罩與選 擇性姓刻終止層從最終結構中除去,其電介常數傳統上比 IMD高。該方法產生了具有極低電介常數的電介質之強健 的互連結構(與依靠所採用的強健線路支撐材料的先前技 術DD法相比)。儘管線路支撐212〇可具有」高於gfd的電介 常數值,但其對總互連電容的影響並不太大,因其只位於 線路之下,而非線路之間,並且會由上述三方面大大抵消、。 互連結構的有效電介常數的額外減少可藉由限制覆蓋層 只存在於線路之上,並將其從線路之間除去來實現,如^ 2£所不。共同待審的IBM專利申請檔案YOR920020155US1 所述之方法以及其他方法,Λ處以提及方式併入本文中, 可利用孩等方法生產該選擇性覆蓋層結構。 另一逆擇(圖中未顯示)包括互連線路上的選擇性覆蓋層 ’與該等線路與GFD的整個平坦化表面上的第二低電介常 女又連鲕的覆盍層义組合,以進一步增強阻障功能。若未使 用選擇性正形阻障層,則該等選擇會尤其重要。 在另-項替代性具體實施例中,有可能藉由只部分地穿 過結構來回姓該強健支撐電介質,向電介常數極低的電介 填隙材料提供-更為強健之支撐,以便電介質正好凹陷至 線路溝槽底部之上或之下,並繼續進行上述填隙與平坦化 88349 -18- 1233181 。圖3a與3b分別顯示了所產生的具有選擇性覆蓋層與連續 性覆蓋層的最終結構。較低膽1π〇 一路橫跨圖延伸,提 供了沿水平方向之機械強度。該等結構機械強度比圖26或 ^所示的結構更為強健,但有效電介常數稍高,因 性能稍差。 需要對本發明方法以及結構中所使用的填隙材料做出選 擇,因為該等材科應能可靠地滲透並填充回钱製程所產生 的狹▼間隙。此外,該填隙材料最好在填充與任何選擇性 ^化步,4,展7^高度的平坦化。熟悉丨.本技術之人士可 易於調整其薄膜沈積以及固化製程安排以及CMP製程條件 二^佳填隙與平坦化效果’而不致損壞相當脆弱之 有選取該等不同因素,則有可能藉由具 二 挪/、具隙万法構建多級互連結構。 因為參數會隨著材料的選取 产…岡μ # Η十〜取而笑化’使用人員可依據本 知不調心製造商推薦的製程, 一 範田杏。 又Θ雄本知不 < 精神與 圖4a所示之先前技術的標 所1 # 乂 卞又重敍敗導線結構以及圖4b 斤的田則發明方法的回蝕 P M ^ ^ 、、隙μ構的有效電介常數皆 已杈型化。利用計算將一上部 . 1層(至屬4與下部佈線層 (至屬1)面1T所示的金屬2層級 下m曰rn、 ㈣寸、、泉挺型化’其在各情況 下均以相同万式構造。假設— ^ ^ 疋層、、及中的導線沿著其上 /、下曰、、及中導線之垂直方向延 -.^ ^ 冲异並増加橫向與垂直 万向的电各。通常,橫向電容 幼+卸、片遺 曰加兩汰,以表示相同層 、、及中4近導線之作用。各情 J心兒谷隨後規準化為針 88349 -19- 1233181 1100 1130 1150 1170 1180 1190 1200 1210 1220 1500 1510 2120 2220 2230 2240 2242 2244 2246 1110、 基板 分層堆疊 線路 通道 開口 結構 堆疊 填充材料 覆蓋材料 光阻 光阻層 線路支撐區域 覆蓋層 填隙電介質 過載 輻射源 偵測器 控制 1120 電介質 88349 -21 -

Claims (1)

  1. 123 號專利申請案 中文申請專利範圍替換本(94年1月) 拾、申請專利範固: 一種在一積體電路中製造一互連結構之回蝕與填隙方 法,其包括以下步驟: a) 在一支撐表面上沈積一支撐電介質; b) 在该支撐電介質内形成一組互連孔隙,至少其中某 些孔隙具有一冑由一垂直距離與該支撐表面分離 之較低表面; C)藉由使用一導電互連材料填充該組互連孔隙以及 平坦化處理形成一组佈線特徵,以使該等佈線特徵 的該頂部表面實質上與該支撐電介質的該頂部表 面共面,而至少某些該等佈線特徵由該較低表面下 的該支撐電介質的一支撐部分支撐; d) 使用該等佈線特徵作為—光罩,用—方向性蚀刻來 蝕刻該支撐電介質,以使該支撐電介質只留存於該 佈線特徵之下的該等支撐部分中的該結構中;以2 e) 在該組佈線特徵上方沈積一填隙電介材料,以用該 填隙電介質填充該組佈線特徵之間的該等間隙;以 及 f)平坦化茲填隙電介質,直至該組佈線特徵的該頂部 表面實質上與該填隙電介質的該頂部表面共面。 2·如申請專利範圍第1項之方法,其中,平坦化該填隙電 介質之該步驟係藉由化學機械拋光實施。 3·如申請專利範圍第1項之方法,其中,平坦化該填隙電 介質(該步冑進一 #包括—姓刻製程,該製程包括一第 !233181 二J製心以及—不及該第一敍刻製程強烈之第二姓 刻製程; 用一終點偵測系統監視該第一蝕刻製程,並在該組佈 、、泉特徵曝光之前,改為該第二蝕刻製程;以及 、、麄1該第一蝕刻製程,直至該組佈線特徵的該頂部表 面實質上與該填隙電介質的該頂部表面共面。 如申明專利範圍第2項之方法,進一步包括在沈積一填 隙電介質之該步驟之前,在該組佈線特徵上方沈積一正 形封裝層之步驟。 5. 如申請專利㈣第4項之方法,丨中該封裝層的材料會 阻斷氧和銅,藉此將銅互連材料限制於該等佈線特徵内 ’且將氧從該等佈線特徵中排除。 6. 如申請專利範圍第5項之方法,其中,該封裝層的該材 料係選自包含SiCH、SiNCH、Si3N4、SiCOH與Si02之群 組。 7·如申請專利範圍第3項之方法,其中,藉由一干涉測量 系統實施該監視,當該等佈線特徵上的該填隙電介質的 孩厚度小於一參考量時,該系統會發出一蝕刻變化信號 ,藉此停止該第一蝕刻製程,並且回應該蝕刻變化信號 開始該第二蝕刻製程。 8.如令請專利範圍第2項之方法,其中,該填隙電介質係 選自包含兩種實心與多孔之群組:至少包含甲基矽酸鹽 、氫化矽酸鹽以及混合矽酸鹽之旋塗式玻璃;包含矽與 碳、氫、氧與氮中至少之一的非晶體氫化電介薄膜;至 88349-940111.DOC -2- 1233181 少包^聚醯亞胺、苯環丁埽、聚苯並纽基於聚亞苯基 醚的芳香熱固聚合物之旋塗式有機電介質;至少包含聚 9· 10. 11. 12. 13. 、士亞甲苯基之化學汽相沈積聚合物以及其組合物。 申明專利範圍第3項之方法,進一步包括一 cMp步驟 4步驟係在藉由㈣平坦化該填隙電介質之該步驟之 前實施。 種包括-具有i少一A連層置放於其上之基板之結 構、4互連層包括一組導電通道,以及一組置放於該組 通道之上,並與其相連的水平導電互連部件,其中該等 水平互連邵件藉由一支撐電介質而支撐,該支撐電介質 /、有第一電介常數,並從該組通道的一較低表面垂直 延伸至該等水平互連部件的一較低表面,並在該等水平 互連部件下方水平延伸;以及 一填隙電介質,其具有一值小於該第一電介常數之第 私介#數’其填充該組水平互連部件之間的間隙。 如申叫專利範圍第1〇項之結構,其中該基板係選自包含 一半導體裝置晶片與一晶片載體之群組。 如申請專利範圍第1〇項之結構,其中該等水平互連部件 包括一導電阻障材料以及一高導電填充材料,且其中該 導電阻障材料係選自包括Ti、Ta、Cr、W、Zr、Hf以及 其導電氧化物、氮化物、氧氮化物、氮化碳與氮化矽之 群組。 吱申明專利範圍第12項之結構,其中該高導電填充材料 係選自包含Cu、Al、Au與W之群組。 88349-94011i.D〇c Ϊ233181 4.如申請專利範圍第1G項之結構,其中該支撐電介材料係 選自包含實心與多孔之群組:二氧切、氟氧切;包 含石夕以及碳、氧、氫與氮中至少之—的非日%體氫化電介 溥膜;由原珍酸四乙酿、甲基碎酸鹽、含氫㈣鹽以及 混合珍酸鹽製備的旋塗式玻璃薄膜;_碳;包括聚酿 亞胺、苯環丁締、聚苯並吐以及基於聚亞苯基醚的芳香 熱固聚合物中至少之一的旋塗式有機電介質;以及至少 包括聚對亞三甲苯基的化學汽相沈積聚合物。 K如中請專利範圍第10項之結構,其中該較低電介常數填 隙電介質與該支撐電介質不同’且其係選自包含實心與 多孔之該群組:至少包含甲基珍酸鹽、氫切酸鹽以及 混合矽酸鹽之旋塗式玻璃;包含矽與碳、氫、氧與氮中 至少的非晶體氫化電介薄膜;至少包含聚醯亞胺、 苯環丁埽、聚苯並4以及基於聚亞苯基醚的芳香熱固聚 合物之旋塗式有機電介質;至少包含聚對亞二甲苯基之 化學汽相沈積聚合物。 如申請專利範圍第10項之結構,其中,該覆蓋層只形成 於該組水平互連部件上方。 17·如申請專利範圍第16項之結構,其中該覆蓋層係選自於 該群組,其包括··(a)氮化矽、碳化矽、碳氮化矽的非 晶體氫化絕緣體薄膜;(b) Ti、Ta、Cr、W ' Zr、Hf、 其導電氧化物、氮化物、氧氮化物、氮化碳、氮化矽以 及其組合;⑷ Co-W_P、C〇-Sn_P、c〇_Ni_P 與 c〇_p 的合 金;以及(d)來自群組(a)的絕緣薄膜與來自群組(1))與(勾 88349-940111.DOC -4- 1233181 的導電薄膜之組合。 18. 19. 20. 21. 22. 23. 如申請專利範圍第1〇項之結構, 水平互連部件的,τ§ % •包括一覆盍孩等 “ 件的该頂邵表面與該填隙電介質之覆”。 十青專利範圍第18項之結構 曰 成於該等水平 卑一覆盍層只形 ⑼“、心件的孩頂部表面上,而-第二覆蓋 層形成於該填隙電介質的該頂 卜 互連部件的該頂部表面上。 以及M♦水平 專利範圍第19項之結構,其中該第-覆蓋層係選 W亥群組’其包括:(a)氮化矽、碳化矽、碳氮化矽 的非晶體氫化絕緣體薄膜;(b) Ti、Ta、Cr、W、Zr、 Hf、其導電氮化物、氧化物、氧氮化物、氮化碳、氮化 矽=及其組合;⑷ C〇-W-P、Co-Sn-P、Co-Ni-P與 Co-P 的。金,以及⑷來自群組⑷的絕緣薄膜與來自群組⑼ 與(C)的導電薄膜之組合。 如申請專利範圍第19項之結構,其中該第二覆蓋層係選 自於孩群組,其包括:氮化矽、碳化矽與碳氮化矽的非 晶體氫化絕緣體薄膜。 如申請專利範圍第10項之結構,其包括一基板,其具有 置放於彼此頂部的至少兩佈線層,及一最終層級互連佈 線層’其中一第二支撐電介質將該等導線與通道完全包 圍。 如申請專利範圍第22項之結構,其中該第二支撐電介質 係選自包含二氧化矽、氟氧化矽;包含矽、礙、氧、氫 與氮中至少之一的非晶體氫化電介薄膜;由原矽酸四乙 88349-940111.DOC 1233181 酉旨、甲基矽酸鹽、氫化矽酸鹽以及混合矽酸鹽製備的旋 塗式破璃薄膜,·類鑽碳;至少包括聚醯亞胺、苯環丁烯 '聚苯並唑、•基於聚亞苯基醚的芳香熱固聚合物之旋塗 式有機電介質;至少包括聚對亞二甲苯基之化學汽相沈 積聚合物;以及其組合物之群組。 •如t請專利範圍第10項之結構,其中該支撐電介質橫跨 该組導電通道以及該組水平導電互連部件延伸,藉此該 填隙電介質填充只在該支撐電介質上的該等水平互連 邵件之間的間隙。 25.如申請專利範圍第24項之結構,其中該支撐電介質的該 頂部表面位於該等水平導電互連部件的該底部表面與 该組導電通道的該底部表面之間。 6·如申凊專利範圍第1 〇項之結構,其中該支撐電介質在該 組水平導電互連部件下橫向延伸,藉此該填隙電介質填 充向下至该組通道的該上表面之層級的該等水平互連 部件之間的間隙。 88349-940111.DOC
TW092129168A 2002-10-24 2003-10-21 Very low effective dielectric constant interconnect Structures and methods for fabricating the same TWI233181B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/280,283 US7023093B2 (en) 2002-10-24 2002-10-24 Very low effective dielectric constant interconnect Structures and methods for fabricating the same

Publications (2)

Publication Number Publication Date
TW200414429A TW200414429A (en) 2004-08-01
TWI233181B true TWI233181B (en) 2005-05-21

Family

ID=32174595

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092129168A TWI233181B (en) 2002-10-24 2003-10-21 Very low effective dielectric constant interconnect Structures and methods for fabricating the same

Country Status (4)

Country Link
US (2) US7023093B2 (zh)
JP (1) JP4006376B2 (zh)
CN (1) CN1302533C (zh)
TW (1) TWI233181B (zh)

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7235466B2 (en) * 2002-10-31 2007-06-26 Au Optronics Corporation Method of fabricating a polysilicon layer
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US6939817B2 (en) * 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US7368804B2 (en) * 2003-05-16 2008-05-06 Infineon Technologies Ag Method and apparatus of stress relief in semiconductor structures
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR100573897B1 (ko) * 2003-12-30 2006-04-26 동부일렉트로닉스 주식회사 반도체 제조 방법
KR100564801B1 (ko) * 2003-12-30 2006-03-28 동부아남반도체 주식회사 반도체 제조 방법
KR100538444B1 (ko) * 2003-12-31 2005-12-22 동부아남반도체 주식회사 비아 홀 및 트렌치 형성 방법
US7309395B2 (en) * 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
US7094661B2 (en) * 2004-03-31 2006-08-22 Dielectric Systems, Inc. Single and dual damascene techniques utilizing composite polymer dielectric film
US7226852B1 (en) * 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US20060035457A1 (en) * 2004-08-10 2006-02-16 Carter Richard J Interconnection capacitance reduction
US20060216924A1 (en) * 2005-03-28 2006-09-28 Zhen-Cheng Wu BEOL integration scheme for etching damage free ELK
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US20060275547A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US20070080461A1 (en) * 2005-10-11 2007-04-12 Taiwan Semiconductor Manufacturing Comapny, Ltd. Ultra low-k dielectric in damascene structures
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
US7218802B1 (en) 2005-11-30 2007-05-15 Corning Incorporated Low drift planar waveguide grating sensor and method for manufacturing same
US20070145453A1 (en) * 2005-12-23 2007-06-28 Xerox Corporation Dielectric layer for electronic devices
WO2008062562A1 (fr) * 2006-11-22 2008-05-29 Nec Tokin Corporation Structure de bande interdite électromagnétique, étiquette d'identification par radiofréquence, filtre antiparasite, feuille d'absorption de bruit et tableau de connexions à fonction d'absorption de bruit
CN101471324B (zh) * 2007-12-26 2010-07-07 和舰科技(苏州)有限公司 一种超低k互连结构及其制造方法
JP5331443B2 (ja) * 2008-10-29 2013-10-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US20100176513A1 (en) * 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
US7723227B1 (en) * 2009-03-24 2010-05-25 Micron Technology, Inc. Methods of forming copper-comprising conductive lines in the fabrication of integrated circuitry
US8237191B2 (en) 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
US8441835B2 (en) 2010-06-11 2013-05-14 Crossbar, Inc. Interface control for improved switching in RRAM
US8198144B2 (en) 2010-06-11 2012-06-12 Crossbar, Inc. Pillar structure for memory device and method
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8467227B1 (en) 2010-11-04 2013-06-18 Crossbar, Inc. Hetero resistive switching material layer in RRAM device and method
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US8404553B2 (en) 2010-08-23 2013-03-26 Crossbar, Inc. Disturb-resistant non-volatile memory device and method
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8841196B1 (en) 2010-09-29 2014-09-23 Crossbar, Inc. Selective deposition of silver for non-volatile memory device fabrication
US8391049B2 (en) 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
US8187945B2 (en) 2010-10-27 2012-05-29 Crossbar, Inc. Method for obtaining smooth, continuous silver film
US8258020B2 (en) * 2010-11-04 2012-09-04 Crossbar Inc. Interconnects for stacked non-volatile memory device and method
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
US8088688B1 (en) 2010-11-05 2012-01-03 Crossbar, Inc. p+ polysilicon material on aluminum for non-volatile memory device and method
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US8450710B2 (en) 2011-05-27 2013-05-28 Crossbar, Inc. Low temperature p+ silicon junction material for a non-volatile memory device
US8394670B2 (en) 2011-05-31 2013-03-12 Crossbar, Inc. Vertical diodes for non-volatile memory device
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US8659929B2 (en) 2011-06-30 2014-02-25 Crossbar, Inc. Amorphous silicon RRAM with non-linear device and operation
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
CN103828047A (zh) 2011-07-22 2014-05-28 科洛斯巴股份有限公司 用于非易失性存储器装置的p+硅锗材料的种子层及方法
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
CN102446892B (zh) * 2011-10-12 2013-06-26 上海华力微电子有限公司 一种金属-氧化物-金属电容及其制作方法
CN103094196B (zh) * 2011-11-02 2016-02-03 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
CN103094197B (zh) * 2011-11-02 2015-11-25 中芯国际集成电路制造(上海)有限公司 互连结构制造方法
CN102683268A (zh) * 2012-02-28 2012-09-19 上海华力微电子有限公司 具超低介电常数层间介电质的双大马士革结构的形成方法
US8716098B1 (en) 2012-03-09 2014-05-06 Crossbar, Inc. Selective removal method and structure of silver in resistive switching device for a non-volatile memory device
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8946667B1 (en) 2012-04-13 2015-02-03 Crossbar, Inc. Barrier structure for a silver based RRAM and method
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US9070859B1 (en) 2012-05-25 2015-06-30 Crossbar, Inc. Low temperature deposition method for polycrystalline silicon material for a non-volatile memory device
US8883603B1 (en) 2012-08-01 2014-11-11 Crossbar, Inc. Silver deposition method for a non-volatile memory device
US10096653B2 (en) 2012-08-14 2018-10-09 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US8796102B1 (en) 2012-08-29 2014-08-05 Crossbar, Inc. Device structure for a RRAM and method
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9312483B2 (en) 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
CN103839874B (zh) * 2012-11-21 2016-04-20 中芯国际集成电路制造(上海)有限公司 金属互连结构及其制作方法
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US9401329B2 (en) * 2013-03-12 2016-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9153538B2 (en) * 2013-08-22 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
KR102511354B1 (ko) * 2015-06-16 2023-03-21 삼성디스플레이 주식회사 유기 발광 표시장치 및 그의 제조방법
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9418886B1 (en) * 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
FR3065578B1 (fr) * 2017-04-19 2019-05-03 Primo1D Procede d'assemblage d'une puce microelectronique sur un element filaire
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10679934B2 (en) 2017-12-01 2020-06-09 International Business Machines Corporation Capacitance reduction in sea of lines BEOL metallization
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN112309958B (zh) * 2019-07-31 2023-04-07 长鑫存储技术有限公司 导电互连结构及其制备方法
US11164815B2 (en) 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11024577B1 (en) 2020-01-17 2021-06-01 International Business Machines Corporation Embedded anti-fuses for small scale applications
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN117253850B (zh) * 2023-11-15 2024-02-02 合肥晶合集成电路股份有限公司 互连开口的形成方法以及互连结构的形成方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0176755B1 (ko) 1994-07-15 1999-05-01 구자홍 영상신호 처리기의 캡션라인 검출회로
US5559055A (en) * 1994-12-21 1996-09-24 Advanced Micro Devices, Inc. Method of decreased interlayer dielectric constant in a multilayer interconnect structure to increase device speed performance
US5559056A (en) * 1995-01-13 1996-09-24 National Semiconductor Corporation Method and apparatus for capping metallization layer
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
JP3065003B2 (ja) * 1997-11-27 2000-07-12 日本電気株式会社 半導体装置及びその製造方法
US6146986A (en) * 1999-01-08 2000-11-14 Lam Research Corporation Lithographic method for creating damascene metallization layers
JP3084367B1 (ja) * 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6252290B1 (en) * 1999-10-25 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form, and structure of, a dual damascene interconnect device
US6355555B1 (en) * 2000-01-28 2002-03-12 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
JP2002299437A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置の製造方法
TW550642B (en) * 2001-06-12 2003-09-01 Toshiba Corp Semiconductor device with multi-layer interconnect and method fabricating the same

Also Published As

Publication number Publication date
JP2004146800A (ja) 2004-05-20
US20040087135A1 (en) 2004-05-06
CN1499606A (zh) 2004-05-26
JP4006376B2 (ja) 2007-11-14
US20050186778A1 (en) 2005-08-25
CN1302533C (zh) 2007-02-28
US7023093B2 (en) 2006-04-04
US7045453B2 (en) 2006-05-16
TW200414429A (en) 2004-08-01

Similar Documents

Publication Publication Date Title
TWI233181B (en) Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP6029802B2 (ja) 集積回路用相互接続構造の製造方法
US20220359274A1 (en) Method and Apparatus for Back End of Line Semiconductor Device Processing
TWI222170B (en) Interconnect structures containing stress adjustment cap layer
CN100576494C (zh) 利用保护性通路盖层形成半导体器件的双镶嵌布线的方法
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US8053901B2 (en) Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
JP3778487B2 (ja) 金属キャパシタの形成方法
US7834459B2 (en) Semiconductor device and semiconductor device manufacturing method
US6372632B1 (en) Method to eliminate dishing of copper interconnects by the use of a sacrificial oxide layer
JP3615205B2 (ja) 半導体装置及び半導体装置の製造方法
JP2012015268A (ja) 半導体装置の製造方法及び半導体装置
US6365971B1 (en) Unlanded vias with a low dielectric constant material as an intraline dielectric
US20040171256A1 (en) Mask layer and interconnect structure for dual damascene semiconductor manufacturing
TW200416947A (en) Method for fabrication of in-laid metal interconnects
TW200917368A (en) Forming complementary metal features using conformal insulator layer
US20020173079A1 (en) Dual damascene integration scheme using a bilayer interlevel dielectric
JP2009188101A (ja) 半導体装置及びその製造方法
KR100781422B1 (ko) 듀얼 다마신 패턴 형성 방법
TW519725B (en) Via first dual damascene process for copper metallization
US8048799B2 (en) Method for forming copper wiring in semiconductor device
KR20090074473A (ko) 반도체 소자의 배선 형성 방법
TW530350B (en) Better copper CMP process utilizing dummy plugs in damascene process
KR100784105B1 (ko) 반도체 소자의 제조 방법
JP2007005628A (ja) 配線構造およびその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees