JP6029802B2 - 集積回路用相互接続構造の製造方法 - Google Patents

集積回路用相互接続構造の製造方法 Download PDF

Info

Publication number
JP6029802B2
JP6029802B2 JP2009274078A JP2009274078A JP6029802B2 JP 6029802 B2 JP6029802 B2 JP 6029802B2 JP 2009274078 A JP2009274078 A JP 2009274078A JP 2009274078 A JP2009274078 A JP 2009274078A JP 6029802 B2 JP6029802 B2 JP 6029802B2
Authority
JP
Japan
Prior art keywords
layer
dielectric
substrate
cmp
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009274078A
Other languages
English (en)
Other versions
JP2010153848A (ja
Inventor
セドリク・フイフヘバエルト
ヤン・ファエス
ヤン・ファン・オルメン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2010153848A publication Critical patent/JP2010153848A/ja
Application granted granted Critical
Publication of JP6029802B2 publication Critical patent/JP6029802B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、集積回路(IC)を積層するためのTSVの集積に関する。
半導体デバイスの大きさ、重さ、およびコストの低減のための連続した圧力と共に、その機能の増加が、革新的で、費用効率の高い3Dパッケージコンセプトに結びついた。3Dパッケージ技術の中で、スルーシリコンバイア電極が、最短で最も有用な垂直接続を提供しうる。スルーシリコンバイアを実現するために、シリコンウエハを通って、本質的に垂直な接続がエッチングされ、導電性材料で充填される。これらのバイアは、多くのチップを互いに積層して、それらのチップ間をより多くの情報が通れるようにする。
接続長さはチップの厚さと同じ程度に短くでき、このため高密度で高アスペクト比の接続が可能となる。スルーシリコンバイア相互接続は、チップ上の機能ユニット/ブロックの間の相互接続の長さを物理的に短縮することで、RC遅延や電力消費の低減を助ける。スルーシリコンバイアチップ接続と噛み合った技術は、スルーシリコンバイアエッチング、絶縁/バリア/シード堆積、バイア充填、表面の銅の除去、ウエハの薄層化、ボンディング/スタッキング、検査等を含む。
ダマシンのようなスルーシリコンバイア集積プロセスで解決されるべき問題の1つは、過剰な導電性材料(例えばCu)やバリア材料(例えばTaまたはTi含有層)を、スルーシリコンバイアの充填完了後に、化学機械研磨(CMP)技術を用いて選択的に除去することである。CMPプロセスの制御は、非常に重要である。最終デバイスの短絡を防止するために、全ての導電性材料とバリア材料は、表面から除去されなければならない。一方、スルーシリコンバイアを従来の相互接続と接続可能にする下層の構造(例えばコンタクトプラグ)が強く攻撃されるのを避けるために、多くの表面材料(例えばSiO)を除去工程で消費すべきでない。バリア材料(例えばTaNまたはTiN)と表面材料(例えばSiO)のCMPプロセスの材料選択性があまり異ならないために、CMP除去プロセスは、非常に狭いプロセスウインドウを有する。全ての表面の上をバリア層が連続して覆うことを確実にするために非常に厚いバリア層を堆積しなければならず、研磨スラリは、下層の(酸化物)表面層に対して十分に選択的ではない。CMPの不均一を扱うための標準的な手順である、わずかなオーバー研磨は、下層の表面に強く影響する。全膜厚の10分の数パーセントの除去が期待される。この結果、歪んだ電気特性または完全に破壊されたデバイス操作となる。
解決すべき問題は、このように、スルーシリコンバイアを充填した後に、化学機械研磨(CMP)を用いて過剰なバリアと導電材料を除去して、これにより堆積における不均一性を補償するより広いプロセスウインドウを形成し、誘電体スタックにダメージを与えない(換言すれば、他の集積工程に影響しない)10〜20%のオーバー研磨のような研磨を行うことである。
過剰のバリア、シード、および導電性材料を、スルーシリコンバイアの充填後に除去するためのCMP工程のプロセス制御は、最終デバイスの短絡を防止するために全てのバリア、シード、及び導電性材料を表面から除去しなければ成らないため、非常に重要である。一方、スルーシリコンバイアを従来の相互接続と接続するバイア(下層の金属層におけるコンタクトプラグまたはバイア)の強い攻撃を防止するために、誘電体下層(酸化物)の消費は、過剰すぎないようにしなければならない。
上述のように、CMP工程のプロセスウインドウは非常に狭い。なぜならば、全てのバイア表面(側壁)の上に連続したバリアの堆積を確実に行うためにむしろ厚めの過剰なバリア層を堆積しなければならず、およびスラリーはしばしば下層の誘電体層(酸化物)に対して十部に選択的でないためである。表面上のバリア層の厚さは、高いアスペクト比のバイアの側壁上への低い堆積効果のための(一般には側壁の下方部分において非常に低い効果となる)、10%よりは高くない最先端のプラズマ気相成長(PVD)層の貧弱な均一性の結果である。CMPを用いて不均一を扱う標準手順である、わずかなオーバー研磨は、PMD(Pre Metal Deposited)またはIMD(Inter Metal Deposited)誘電体層(酸化物)に強く影響する。
スルーシリコンバイアは、フロントエンドオブライン(FEOL)プロセス中に集積しても良い。この場合、スルーシリコンバイアは、半導体ウエハ(殆どの場合はシリコンウエハ)の上に堆積されるプレメタル誘電体(PMD:Pre-Metal Dielectric)スタックを通ってエッチングされ、このウエハは集積回路(IC)の能動要素(即ちトランジスタ等)を含み、スルーシリコンバイアは更にシリコンウエハ自身を通り、下層のICに接続する。スルーシリコンバイアは、バックエンドオブライン(BEOL)プロセスの遅い段階で集積されても良く、BEOLプロセスでは金属誘電体スタック(IMD)がシリコンウエハ上に堆積され、それぞれのレベルは相互接続のために金属で満たされるコンタクトバイアおよびトレンチを含む。スルーシリコンバイアは、次に、多くのIMDレベルや下層のシリコン基板を通ってエッチングされ、再度下層のICに接続される。
CMPの一般的な知識に基づいて提案されたCMPのための不十分なウインドウの問題の解決は、スルーシリコンバイアの開始に先立った(典型的にはTSVのパターニング直後の)CMPストップ層の導入かもしれない。それにもかかわらず、このモジュールにCMPストップ層を導入することにより、これに続くIMDモジュール中で、多くの追加の集積問題が発生した。もちろん、この追加のストップ層は、(デバイスまたはメタル1と接続する)バイアに接続するためにエッチングされ、一方同時にスルーシリコンバイアのCuは完全に露出する必要がある。露出したCuはエッチングチャンバを汚染し、この結果、エッチング時間とエッチングするウエハの数により、エッチングプロセスが変化する。他の望まない影響は、エッチングチャンバ中に露出する間にスルーシリコンバイアのCu表面が腐食することであり、この結果、より高い(望まない)抵抗となる。図1は、上で提案された方法で作製された、少なくとも1つのスルーサブストレイトバイア(TSV)4を有し、PMDスタックが基板1の上に形成された最終デバイス中の、上述の問題を示す。コンタクトバイア3は、TSV4の形成に先立って、形成されて充填される。CMPストップ層5’が、TSVのエッチングに先立って堆積される。示された問題は、Cuがスルーサブストレイトバイア(TSV)中で露出しても、CMPストップ層5’はまだ(コンタクトバイア3の上で)開口する必要があることである。
図2は、先端技術の方法で作製した、少なくとも1つのスルーシリコンバイアを有する最終デバイスの典型的な問題を示す。コンタクトバイア3を形成するための開口部のパターニング後に、レジスト30中にレジストフッティング(矢印参照)が発生する。現在、この問題は、PMDスタック上に薄い酸化膜層を形成することで、解決されている。
本発明は、添付の請求項に記載された方法とデバイスに関する。本発明は、1またはそれ以上の主として誘電体材料からなる層を含み、1又はそれ以上のこの誘電体層と、場合によれば基板中に、少なくとも1つの第1導電型構造と少なくとも1つの第2導電型の導電体構造とが埋め込まれた半導体デバイスの製造方法に関し、この方法は、
基板の表面上に能動要素を含む半導体基板を準備する工程と、
基板の表面上、または基板の上の他の誘電体層上に、誘電体材料のトップ層を堆積する工程と、
少なくともトップ層を通って少なくとも1つの第1開口部をエッチングし、少なくとも第1導電性材料を用いて第1開口部を充填し、第1化学機械研磨(CMP)工程を行って、第1導電性構造を形成する工程と、
少なくともトップ層を通って少なくとも1つの第2開口部をエッチングし、少なくとも第2導電性材料を用いて第2開口部を充填し、第2CMP工程を行って、第2導電性構造を形成する工程と、を含み、
この方法は、第1開口部をエッチングし充填する前に、誘電体トップ層の上に共通のCMPストップ層を堆積して、この共通のCMPストップ層を、第1開口部の充填後のCMPプロセスと第2開口部の充填後のCMPプロセスとを停止するために使用する工程を含む。
1の具体例では、誘電体材料のトップ層は、基板の表面上に堆積されたプレメタル誘電体(Pre-metal Dielectric)スタックであり、第1導電性材料で充填される少なくとも1つの第1開口部は、下のレベルの能動構造を接続するためのコンタクトバイアであり、第2導電性材料で充填される少なくとも1つの第2開口部は、下にある(sub-laying)ICを接続するためのスルーサブストレイトバイアである。
他の具体例では、誘電体材料のトップ層は、基板上の、PMDスタック上または他のIMD層上に堆積されたインターメタル(Intermetallic)誘電体層であり、第1導電性材料で充填される少なくとも1つの第1開口部は、下層の相互接続層を接続するための相互接続バイアであり、第2導電性材料で充填される少なくとも1つの第2開口部は、下にある(sub-laying)ICを接続するためのスルーサブストレイトバイアである。
本発明は、特に、半導体デバイスを製造する方法に関し、この方法は、
半導体デバイスの能動要素とプレメタル誘電体スタックの層(PMD)を含む基板を準備する工程と、
CMPストップ層を堆積する工程と、
感光性層を堆積し、この感光性層中に開口部をパターニングして、プレメタル誘電体(PMD)スタック中にコンタクトバイアを規定(define)する工程と、
PMD中にパターニングされた開口部内のPMDスタック中にコンタクトバイアをエッチングし、続いて残りの感光性材料を除去する工程と、
コンタクトバイアの側壁上に誘電体とバリア材料を堆積し、続いてコンタクトバイアを第1導電性材料で充填する工程と、
過剰なバリア材料と第1導電性材料を、化学機械研磨(CMP)を用いて、CMPストップ層に対して選択的に除去する工程と、
感光性層を堆積し、感光性層中に開口部をパターニングして、スルーサブストレイトバイア(TSV)を規定する工程と、
PMDスタック中と部分的に下層の基板中にスルーサブストレイトバイア(TSV)をエッチングし、続いて残った感光性材料を除去する工程と、
誘電体とバリア材料と、選択的にシード層とを、スルーサブストレイトバイア(TSV)の側壁上に堆積し、続いてTSVを第2導電性材料で充填する工程と、
過剰なバリア材料と第2導電性材料を、CMPストップ層を用いた化学機械研磨(CMP)を用いて除去する工程と、を含む。
本発明は、また、半導体デバイスを製造する方法に関し、この方法は、
インターメタル(Inter Metal)誘電体(IMD)に埋め込まれたn−1レベルのバイアおよびトレンチを含む、少なくとも部分的に完成したバックエンドオブライン(BEOL)スタックを含む基板を最初に準備する工程と、
IMD層を堆積して、レベルnのBEOLスタックを形成する工程と、
堆積したレベルnのIMD層の上にCMPストップ層を堆積する工程と、
CMPストップ層の上に感光性層を堆積し、感光性層中に開口部をパターニングして、レベルnの堆積したIMD層中にトレンチおよび/またはバイアを規定する工程と、
レベルnの堆積したIMD層中にパターニングされた開口部内に、トレンチおよび/またはバイアをエッチングし、続いて残った感光性材料を除去する工程と、
トレンチおよび/またはバイアの側壁上に、誘電体、バリア、および/またはシード材料を堆積し、続いてトレンチおよび/またはバイアを第1導電性材料で充填する工程と、
過剰な誘電体、バリア、および選択的にシード材料、および第1導電性材料を、CMPストップ層を用いた化学機械研磨(CMP)を用いて除去する工程と、
感光性層を堆積し、感光性層中に開口部をパターニングして、スルーサブストレイトバイア(TSV)を規定する工程と、
レベルnの堆積したIMD層中と更に延びて下層の基板中に、スルーサブストレイトバイア(TSV)をエッチングし、続いて残った感光性材料を除去する工程と、
誘電体、バリア、および選択的にシード層を、スルーサブストレイトバイア(TSV)の側壁上に堆積し、続いてTSVを第2導電性材料で充填する工程と、
過剰な誘電体、バリア、および選択的にシード材料、および第2導電性材料を、CMPストップ層を用いた化学機械研磨(CMP)を用いて除去する工程と、を含む。
本発明の方法では、CMPストップ層は、炭化シリコン(SiC)層、窒化シリコン(SiN)層、シリコンオキシカーバイド(SiOC)層、シリコンオキシナイトライド(SiON)層から選択され、この層は化学気相成長(CVD)を用いて堆積されても良い。
本発明は、また、半導体デバイスに関し、このデバイスは、
好適にはシリコンである半導体基板と、
基板上に堆積された、CMPストップ層をその上に有するPMDスタックと、
CMPストップ層、PMDスタック、および部分的に基板中を通ってエッチングで形成された少なくとも1つのスルーサブストレイトバイア(TSV)であって、CMPストップ層、PMDスタック、および部分的に基板中に埋め込まれたTSVと、
CMPストップ層およびPMDスタックを通ってエッチングで形成された少なくとも1つのコンタクトバイアであって、CMPストップ層およびPMDスタック中に埋め込まれたコンタクトバイアと、を含む。
本発明は、また、半導体デバイスに関し、このデバイスは、
基板上に堆積され、CMPストップ層をその上に有するインターメタル(Inter Metal)誘電体(IMD)スタックに埋め込まれたn−1金属レベルのバイアおよびトレンチを含む、少なくとも部分的に完成したバックエンドオブライン(BEOL)スタックと、
CMPストップ層、IMDスタック、および部分的に基板中を通ってエッチングで形成された少なくとも1つのスルーサブストレイトバイア(TSV)であって、CMPストップ層、IMDスタック、および部分的に基板中に埋め込まれたTSVと、
CMPストップ層およびIMDスタックを通ってエッチングで形成されたn番目の金属レベルバイアであって、CMPストップ層およびIMDスタック中に埋め込まれたn番目の金属レベルバイアと、を含む。
全ての図は、幾つかの形態と好適な具体例を表しことを意図する。図は、明確化のために単純化された方法で描かれる。全ての代替えや選択肢が示されるものではなく、それゆえに本発明は、所定の図面の内容に限定されるものではない、同一数字は、異なった図中の同一部分を参照するために使用される。
CMPストップ層が、コンタクトバイアの形成後ではなくTSVの形成前に適用される方法により作製された、少なくとも1つのスルーサブストレイトバイア(TSV)を有する最終デバイスの問題を示す。示された問題は、CuがTSV中に露出しているのに、更にCMPストップ層を開口する必要があることである。 先端技術の方法により作製された、少なくとも1つのTSVを有する最終デバイスの問題の1つを示す。 本発明の好適な具体例にかかる、FEOLステージで作製された少なくとも1つのTSVを有する最終デバイスを示す。 本発明の好適な具体例にかかる、BEOLステージで作製された少なくとも1つのTSVを有する最終デバイスを示す。 本発明の好適な具体例にかかる、コンタクトバイア(プラグ)の形成後に基板中にTSVを形成するプロセスフローを示す。 図2に示す問題を本発明がいかに解決するかを示す。 本発明の好適な具体例にかかるプロセスフローを示すフローチャートを示す。 本発明の好適な具体例にかかるプロセスフローを示す代わりのフローチャートを示す。
本発明の目的は、スルーサブストレイトバイア(TSV)の充填後に、化学機械研磨(CPM)を用いて過剰の誘電体ライナー、バリア、シード、および導電性材料を除去して、CMPに対する非常に狭いプロセスウインドウとなる非常に狭い選択性の違いの問題を避ける方法を提供することである。本発明のこの記載では、略語「TSV」は、「スルーサブストレイトバイア(Through Substrate Via)」を表し、基板はシリコンでも良い。後者の場合、TSVは、この分野で一般的に知られているように、「スルーシリコンバイア」を表す。導電性の充填材料は、好適にはCuのような金属であり、好適には、電気化学めっき(ECPまたはめっき)とも呼ばれる電気化学堆積(ECD)を用いてTSV中に組み込まれる。過剰物は、更に、誘電体ライナー、バリア材料、および選択的に、TSVを導電性材料で充填する前にTSV中の内部側壁上に堆積されるシード材料を含む。誘電体ライナーは、導電性充填剤と誘電体スタックとの間の分離層として用いられ、好適にはTEOS(Tetraethyl orthosilicate)のような酸化層から選択され、SA−CVDを用いて形成されても良い。バリア層は、好適にはTa層(例えばTaN)や、Ti層(例えばTi/TiN)から選択される。シード層は、好適にはCuを含み、物理気相堆積(PVD)を用いて堆積されても良い。好適には、TSVを銅で充填するために電気化学堆積(ECD)が用いられる場合に、シード層が用いられる。
本発明は、特定の具体例と図面を参照しながら詳細に記載されるが、本発明はこれに限定されるものではなく、請求の範囲によってのみ限定されるものである。記載された図面は単に概略であり、限定的なものではない。図面において、図示目的で、いくつかの要素の大きさは拡張され、縮尺通りに記載されていない。寸法と相対寸法は、本発明の実施の実際の縮小には対応していない。
更に、説明や請求項中の、上等の用語は、記載目的のために使用され、相対的な位置を示すものではない。そのように使用される用語は、適当な状況下で入替え可能であり、ここに記載された好適な具体例は、ここに記載や図示されたものと異なる位置でも操作できることを理解すべきである。
請求項で使用される「含む(comprising)」の用語は、それ以降に示される手段に限定されるものと解釈すべきでなく、他の要素や工程を排除するものではない。このように、この用語は、言及された特徴、整数(integers)、工程、または述べられた構成要素の存在を明記されたように解釈され、1またはそれ以上の他の特徴、整数、工程または構成要素、またはそれらの組の存在や追加を排除するものではない。このように、「手段AおよびBを含むデバイス」の表現の範囲は、構成要素AとBのみを含むデバイスに限定されるべきではない。本発明では、単にデバイスに関連した構成要素がAとBであることを意味する。
この明細書を通じて参照される「一の具体例(one embodiment)」または「ある具体例(an embodiment)」は、この具体例に関係して記載された特定の長所、構造、または特徴は、本発明の少なくとも1つの具体例に含まれることを意味する。このように、この明細書を通して多くの場所の「一の具体例で(in one embodiment)」または「ある具体例で(in an embodiment)」の語句の表現は、同じ具体例を表す必要はなく、表しても構わない。更に、特定の長所、構造、または特徴は、この記載から当業者に明らかなように、1またはそれ以上の具体例中で適当な方法で組み合わせることができる。
同様に、本発明の例示の記載中において、能率的に開示し、多くの発明の形態の1またはそれ以上の理解を助ける目的で、本発明の多くの長所は、時には1つの具体例、図面、またはその記載中にまとめられることを評価すべきである。しかしながら、この開示の方法は、請求される発明がそれぞれの請求項に記載されたものより多くの特徴を必要とすることを意図して表されていると解釈すべきではない。むしろ、以下の請求項が表すように、発明の態様は、1つの記載された具体例の全ての長所より少なくなる。このように詳細な説明に続く請求の範囲は、これにより詳細な説明中に明確に含まれ、それぞれの請求項は、この発明の別々の具体例としてそれ自身で成立する。
更に、ここで記載された幾つかの具体例は、他の具体例に含まれる以外の幾つかの特徴を含み、異なった具体例の長所の組み合わせは、本発明の範囲に入ることを意味し、当業者に理解されるように異なった具体例を形成する。例えば、以下の請求の範囲では、請求された具体例のいくつかは、他の組み合わせにおいても使用することができる。
ここで与えられる記載において、多くの特別な細部が示される。しかしながら、好適な具体例はそれらの特別な細部無しに実施できることを理解すべきである。他の例では、公知の方法、構造、および技術は、この記載の理解をわかりにくくしないために、詳細には示されていない。
本発明は、多くの好適な具体例の詳細な記載によって記載される。他の好適な具体例が、本発明の真実の精神や技術的示唆から離れることなく、当業者の知識により形成でき、本発明は、添付された請求の範囲の文言によってのみ限定されることは明らかである。
本発明では、ホール(バイア)をそれぞれの好適な金属で充填した後に、2つの平坦化(CMP)工程を必要とするダマシンタイプのプロセスを用いて、2つの異なる材料を含む構造(例えばコンタクトバイアとTSV)が同じ平面レベルに集積される。この考えは、2つのCMP工程に対して1つのCMPストップ層のみを使用し、これにより、第1金属で充填される(少なくとも1つの)第1開口部のパターニング前に、この「共通の」ストップ層が集積される。この構造は、1又はそれ以上の誘電体層(例えば、異なるメタライゼーションレベルの間のIMD中のコンタクトバイア)中に埋められ、基板自身の中に埋められても良い(TSV)。共通のCMP層は、2つの異なる構造が形成されるトップ誘電体層の上に堆積される。
第1の好適な具体例では、トップ誘電体層は、PMDスタックである(FEOLの具体例、後述する)。第2の具体例では、トップ誘電体層はIMD層である(BROLの具体例、後述する)。CMPストップ層は、先に述べたレベル(即ち、PMDの場合には基板上の能動要素、IMDの場合には先に述べた金属層)を接続するために、PMDまたはIMDスタック中にコンタクトバイアまたはホールが導入される前に、PMDまたはIMD酸化物スタックの上に堆積される。
他の方法では、第1の具体例では、2つの金属および関連する開口部は、金属で充填される第1開口部であって、下層のレベルの能動構造と接続するためのコンタクトバイアである第1開口部と、金属で充填される第2開口部であって、下層ICと接続するTSVである第2開口部である。これは、「FEOLの具体例」と呼ばれる。この具体例により作製されたデバイスは、図3Aに示される。
第2の具体例では、2つの金属と関連する開口部は、金属で充填される第1開口部であって、下層の金属層と接続するための相互接続バイアである第1開口部と、金属で充填される第2開口部であって、下層ICと接続するTSVである第2開口部である。これは、「BEOLの具体例」と呼ばれる。この具体例により作製されたデバイスは、図3Bに示される。
図4は、この方法のEFOLの具体例にかかる好適なプロセスフローを示し、図6は、このプロセスフローのフローチャートを示す。
FEOLの具体例では、スルーサブストレイトバイア(TSV)を充填した後に、過剰なバリア、シード、および充填金属を除去する方法が提供される。この方法は、少なくとも、
基板1を提供する工程であって、好適にはシリコン基板であり、半導体デバイスの能動要素とプレメタル誘電体スタック層(PMD)2とを含む基板を提供する工程と、
CMPストップ層5を堆積する工程と、
第1感光性層6を堆積し、この感光性層中に開口部をパターニングして、プレメタル誘電体(PMD)スタック2の中にコンタクトバイアを規定する工程と、
PMD中のパターニングされた開口部内のPMDスタック2中に、コンタクトバイア7をエッチングし、次に残った感光性材料(フォトレジスト)を除去する工程と、
コンタクトバイアの側壁上に誘電体とバリア材料(図示せず)を堆積し、続いて導電性材料8でコンタクトバイアを充填する工程と、
CMPストップ層5を用いた化学機械研磨(CMP)を用いて、過剰なバリア材料および導電性材料を除去する工程と、
第2感光性層12を堆積し、感光性層中に開口部をパターニングして、スルーサブストレイトバイア(TSV)を規定する工程と、
PMDスタック2と、下層の基板1の一部との中にスルーサブストレイトバイア(TSV)13をエッチングし、続いて残った感光性材料(フォトレジスト)を除去する工程と、
誘電体およびバリア材料9および選択的にシード層材料を、スルーサブストレイトバイア(TSV)13の側壁上に堆積し、続いて導電性材料10でTSVを充填する工程と、
CMPストップ層5を用いた化学機械研磨(CMP)を用いて、過剰なバリア材料および導電性材料10を除去する工程と、を含む。
この方法は、上述の工程を含む半導体デバイスを製造する方法と同等である。図5は、コンタクトバイアを形成するための開口部のパターニング後に、レジスト中で発生するレジストフッティング(resist footing)の問題を、本発明のこの方法によりいかに回避するかを示す。レジストフッティングは、CMP層5の存在により避けられる。CMP層は、このように、この目的で堆積される薄い酸化物層と同じ役割を果たす。
好適な具体例では、プレメタル誘電体スタック(PMD)層は、多くの他の要求に加えて、(金属相互接続からの)電気的分離および(例えば移動イオンからの汚染を避けるための)物理的分離を与えるものである。PMDスタックは、好適には、300〜400nmの厚さを有し、より好適には、350nm程度である。0.18μm技術と0.13μm技術では、PMDは、下層のシリサイドに対して優れた選択性を有するコンタクトエッチプロセスを許容できなければならない。これは、主に、シリサイドの厚さは連続して小さくなる一方、深いコンタクトと浅いコンタクトの間のアスペクト比の違いを考慮しなければならないからである。好適なPMDスタックの例が、B. De Jaeger らによって開示され (B. De Jaeger et al., "Optimisation of a Pre-Metal-Dielectric with a contact etch stop layer for 0.18μm and 0.13μm technologies", IEEE 2008)、バルク層の下に、エッチングストッパ層を有する誘電体材料のバルク層を少なくとも含む。PMDスタックは、例えば、その下にエッチングストッパ層20を有する高密度プラズマ・リンドープ・シリコンガラス(HDPPSG)を含んでも良い(図4参照)。例えば100nmのLP−CVDのTEOS層やPE−CVDのSiON、SiC等の層が、バルクHDPPSG層の堆積後に堆積される。エッチングストッパ層(ライナー)20は、また、PSG層から基板中の能動要素に向かうリンの拡散を防止するために必要である。
好適な具体例では、PMDスタックを平坦化するために、PMDスタック(バルクHDPPSG層)の堆積後に、研磨工程が導入される。
好適な具体例では、(研磨)CMPストップ層5が、炭化シリコン(SiC)、窒化シリコン(SiN)、シリコンオキシカーバイド(SiOC)、シリコンオキシナイトライド(SiON)から選択され、この層は化学気相堆積(CVD)を用いて堆積される。この層の厚さは、30nmから100nmの範囲である。研磨ストッパ層は、続くコンタクトホールのエッチング中は、エッチングハードマスクとして働く。
好適な具体例では、PMDスタック中にコンタクトバイアをエッチングする工程は、反応性イオンエッチングを用いて行われる。
好適な具体例では、コンタクトバイアの側壁上にバリア材料を堆積させる工程は、物理気相堆積(PVD)、原子層堆積(ALD)、または化学気相堆積(CVD)を用いて、チタン−チタンナイトライド(Ti/TiN)層の堆積により行われることが好ましい。TiN層の厚さは、略10nmから略20nmである。
好適な具体例では、導電性材料でコンタクトバイアを充填する工程は、(例えば、有機金属CVD(MO−CVD)のような)化学気相堆積を用いて、例えばタングステン(W)のような好適な金属を堆積して行われることが好ましい。タングステン層を形成するための反応性材料は、WFとSiHを含み、TiNバリア層は、フッ素がPMDスタック中を通るのを防止する。好適な具体例では、CVDの堆積温度は、300℃から500℃の範囲である。
好適な具体例では、PMDスタックを提供する工程、コンタクトホールをパターニングしてコンタクトホールをタングステンで埋める工程、および過剰物を除去(研磨)する工程は、「PMDモジュール」と呼ばれる。
好適な具体例では、TSVをパターニング、エッチングして、TSVの側壁上にバリア材料とシード層材料を堆積する工程と、続いて導電性材料でコンタクトバイアを充填し、CMPを用いて過剰なバリア材料と導電性材料を除去する工程は、「TSVモジュール」と呼ばれる。
好適な具体例では、感光性層を堆積する工程と、この感光性層中に開口部をパターニングしてTSVを規定する工程は、先端技術のリソグラフィパターニングを用いて行われる。PMDスタックを通り、部分的にその下の基板中に、感光性層中のパターニングされた開口部を用いてTSVをエッチングする工程は、(例えば、US2008/0050919に記載されたように)先端技術の反応性イオンエッチングを用いて行われる。TSVの典型的な寸法は、直径が2〜5nmで、深さが10〜50μmである。
好適な具体例では、バリア材料と選択的にシード層材料とを、TSVの側壁上に堆積する工程は、典型的には、CVD酸化物リニアを最初に堆積し、その上にTaバリアと、続いてCuシード層を堆積することで行われる。
好適な具体例では、導電性材料でTSVを充填する工程は、銅の電気化学堆積(ECD、またはめっきと呼ばれる)を用いて銅でTSVを充填することで行われる。
BEOLの具体例では、基板は、インターメタル誘電体(IMD)中に埋め込まれた異なるレベルのバイアやトレンチを含むバックエンドオブライン(BEOL)スタックを含む。方法は、BEOLスタックが(少なくとも部分的に)完成した後に、TSVを集積して提供される。この方法では、集積に影響することなく(例えば、下の層に影響せず、下の特性にダメージを与えず)、TSVを充填した後に、過剰のバリア、シード、および充填材料を除去することができる。結果のデバイスは図3Bに示され、プロセスフローは図7に示される。BEOLの具体例では、TSVを充填後に、過剰の誘電体、バリア、シード、および充填材料を除去する方法は、少なくとも、
好適にはシリコン基板であり、インターメタル誘電体(IMD)層中に埋め込まれた、n−1レベルのバイアおよびトレンチを含む、少なくとも部分的に完成したバックエンドオブライン(BEOL)スタックを含む基板を最初に準備する工程と、
IMD層を堆積して、レベルnのBEOLスタックを形成する工程と、
堆積したレベルnのIMD層の上にCMPストップ層を堆積する工程と、
CMPストップ層の上に感光性層を堆積し、感光性層中に開口部をパターニングして、レベルnの堆積したIMD層中にトレンチおよび/またはバイアを規定する工程と、
レベルnの堆積したIMD層中にパターニングされた開口部内に、トレンチおよび/またはバイアをエッチングし、続いて残った感光性材料を除去する工程と、
トレンチおよび/またはバイアの側壁上に、誘電体、バリア、および/またはシード材料を堆積し、続いてトレンチおよび/またはバイアを導電性材料で充填する工程と、
過剰な誘電体、バリア、および選択的にシード材料、および導電性材料を、CMPストップ層を用いた化学機械研磨(CMP)を用いて除去する工程と、
感光性層を堆積し、感光性層中に開口部をパターニングして、スルーサブストレイトバイア(TSV)を規定する工程と、
レベルnの堆積したIMD層中と更に延びて下層の基板中に、スルーサブストレイトバイア(TSV)をエッチングし、続いて残った感光性材料(フォトレジスト)を除去する工程と、
誘電体、バリア、および選択的にシード層を、スルーサブストレイトバイア(TSV)の側壁上に堆積し、続いてTSVを導電性材料で充填する工程と、
過剰な誘電体、バリア、および選択的にシード材料、および導電性材料を、CMPストップ層を用いた化学機械研磨(CMP)を用いて除去する工程と、を含む。
この方法は、このように、nのIMDレベルを含む半導体デバイスを製造する方法と同等であり、この方法は、上述の工程を含む。
本発明の方法は、TSVめっき後のCMP工程のために、大幅に改良されたプロセスウインドウの長所を提供する。この他に、(図1に示したような)次の金属層の集積中のドライエッチングのドリフトに関する問題にも出会わない。追加の長所は、コンタクトフォトレジストのためのレジストのフッティングを防止するために堆積する必要のある薄い酸化膜層が、CMPストップ層5(例えば、SiC層)に統合されることで置き換えられるため、TSVモジュールの最初の集積に比較して、プロセスフローに追加の工程が加わらないことである。
好適な具体例の第2の形態では、半導体基板1と関連するデバイスを提供し、このデバイスは、
基板1の上に堆積された、CMPストップ層5をその上に有するPMDスタック2と、
CMPストップ層、PMDスタック、および部分的に基板を通ってエッチングされ、CMPストップ層、およびPMDスタック、および基板の一部に埋め込まれた、少なくとも1つのスルーサブストレイトバイア(TSV)4と、
CMPストップ層5とPMDスタック2を通ってエッチングされ、CMPストップ層とPMDスタックの中にコンタクトバイア3が埋め込まれた少なくとも1つのコンタクトバイア3と、を含む。
換言すれば、本発明は、半導体デバイス(図3A参照)に関し、この半導体デバイスは、
好適にはシリコン基板である半導体基板1と、
基板1の上に堆積され、その上にCMPストップ層5を有するPMDスタック2と、
CMPストップ層5、PMDスタック2、および部分的に基板を通ってエッチングされ、CMPストップ層5、およびPMDスタック2、および基板の一部にTSV4を埋め込むことで得られる少なくとも1つのスルーサブストレイトバイア(TSV)4と、
CMPストップ層5とPMDスタック2を通ってエッチングされ、CMPストップ層5とPMDスタック2の中にコンタクトバイア3を埋め込むことで得られる少なくとも1つのコンタクトバイア3と、を含む。
FEOLの具体例にかかるデバイスでは、TSV4とコンタクトバイア3が少なくとも導電性材料で充填され、それらの上側が同じ平面レベルである。上述の具体例は、これ以降、本発明にかかるデバイスの「FEOLの具体例」と呼ばれる。
図3Aは、FEOLの具体例にかかるデバイスを示し、プロセスのFEOL段階でTSVが形成された、本発明の好適な具体例にかかる方法により作製された少なくとも1つのスルーサブストレイトバイア(TSV)4を有する。
図3Aは、少なくとも1つのコンタクトバイア3とTSV4を有するPMDスタック2を含み、少なくとも1つのスルーサブストレイトバイア(TSV)の上側と、少なくとも1つのコンタクトが、双方とも、炭化シリコン(SiC)、窒化シリコン(SiN)、シリコンオキシカーバイド(SiOC)、シリコンオキシナイトライド(SiON)から選択されたCMPストップ層の中に(これに囲まれて)埋められたことを特徴とする基板を示す。
本発明の第2の形態の、代替えで好適な具体例では、半導体基板1および関連するデバイスが提供され、このデバイスは、
基板21の上に堆積され、CMPストップ層25をその上に有するインターメタル誘電体(IMD)スタック22中に埋め込まれた、n−1メタルレベルのバイアおよびトレンチ23を含む、少なくとも部分的に完成したバックエンドオブライン(BEOL)スタックと、
CMPストップ層25、IMDスタック、および部分的に基板21を通ってエッチングされ、CMPストップ層、およびPMDスタック、および基板の一部に埋め込まれた、少なくとも1つのスルーサブストレイトバイア(TSV)24と、
CMPストップ層25とIMDスタック22を通ってエッチングされ、CMPストップ層とIMDスタック22の中に埋め込まれた、少なくとも1つのnメタルレベルのバイア26と、を含む。
換言すれば、本発明は半導体デバイス(図3B参照)に関し、このデバイスは、
基板21の上に堆積され、CMPストップ層25をその上に有するインターメタル誘電体(IMD)スタック22中に埋め込まれた、n−1メタルレベルのバイアおよびトレンチ23を含む、少なくとも部分的に完成したバックエンドオブライン(BEOL)スタックと、
CMPストップ層25、IMDスタック、および部分的に基板21を通ってエッチングされ、CMPストップ層25、およびIMDスタック22、および基板21の一部に埋め込まれた、少なくとも1つのスルーサブストレイトバイア(TSV)24と、
CMPストップ層25とIMDスタック22を通ってエッチングされ、CMPストップ層とIMDスタック22の中に埋め込まれた、少なくとも1つのn番目のメタルレベルのバイア26と、を含む。
BEOLの具体例では、TSV24およびn番目の金属レベルビア26が、少なくとも導電性材料により充填され、それらの上面は同じ平面レベルとなる。
図3Bは、プロセスのBEOL段階でTSVが作製される、本発明の好適な具体例にかかる方法により作製された、少なくとも1つのスルーサブストレイトバイア(TSV)4を有する、本発明のBEOLの具体例にかかる最終デバイスを示す。
図3Bは、CMPストップ層25をその上に有するインターメタル誘電体(IMD)スタック22中に埋め込まれた、(n−1)番目のメタルレベルのバイアおよびトレンチ23を含む基板21であって、少なくとも1つのスルーサブストレイトバイア(TSV)の上部と、少なくとも1つのn番目のメタルレベルバイア26とTSV24との双方が、炭化シリコン(SiC)、窒化シリコン(SiN)、シリコンオキシカーバイド(SiOC)、シリコンオキシナイトライド(SiON)から選択されたCMPストップ層の中に埋め込まれた(囲まれた)ことを特徴とする基板を示す。
特別で好適な形態は、独立請求項と従属請求項の組み合わせによる。従属請求項の特徴は、必要に応じて独立請求項の特徴や他の従属請求項の特徴と組み合わせても良く、単に請求項に明確に表されたものではない。この分野において、デバイスの一定の改良、変化、および進化が存在するが、本概念は、従来の経験からの出発を含み、この性質のより効果的で、安定で、信頼性の有るデバイスを提供する、実質的に新しく新規な改良を表すものと信じられる。
本発明の上述の他の特徴、長所、および優位点は、本発明の原理を、例示の方法で示す、添付の図面と共に、以下の詳細な記載から明らかになるだろう。この記載は例示の目的のみに表され、本発明の範囲を限定するものではない。以下の引用された参照図は、添付の図面を示す。

Claims (5)

  1. 1またはそれ以上の誘電体材料からなる層を含み、1又はそれ以上のこの誘電体層(2、22)と場合によれば基板とに埋め込まれた、少なくとも1つの第1導電性構造(3、26)と少なくとも1つの第2導電性構造(4、24)とを有し、第1導電性構造(3、26)と第2導電性構造(4、24)とは互いに異なる金属含有する構造であり、第1導電性構造(3、26)と第2導電性構造(4、24)の上面が同一平面上に位置する半導体デバイスの製造方法であって、
    基板の表面上に能動要素を含む半導体基板(1)を準備する工程と、
    基板の表面上、またはこの表面上の他の誘電体層上に、誘電体材料のトップ層(2)を堆積する工程と、
    少なくともトップ層(2)を通る少なくとも1つの第1開口部(7)をエッチングし、少なくとも第1導電性材料(8)を用いて第1開口部を充填し、第1化学機械研磨(CMP)工程を行って、第1導電性構造(3、26)を形成する工程と、
    少なくともトップ層(2)を通る少なくとも1つの第2開口部(13)をエッチングし、少なくとも第2導電性材料(10)を用いて第2開口部を充填し、第2CMP工程を行って、第2導電性構造(4、24)を形成する工程と、を含み、
    さらに、第1開口部(7)をエッチングし充填する工程の前に、トップ層(2)の上に共通のCMPストップ層(5、25)を堆積して、この共通のCMPストップ層を、第1開口部の充填後のCMPプロセスと第2開口部の充填後のCMPプロセスとを停止するために使用する工程を含み、
    誘電体材料のトップ層は、基板の表面上に堆積されたプレメタル誘電体(PMD)スタック(2)であり、第1導電性材料で充填される少なくとも1つの第1開口部(7)は、下のレベルの能動構造を接続するためのコンタクトバイアであり、第2導電性材料で充填される少なくとも1つの第2開口部は、下にあるICチップを接続するためのスルーサブストレイトバイア(13)である半導体デバイスの製造方法。
  2. 1またはそれ以上の誘電体材料からなる層を含み、1又はそれ以上のこの誘電体層(2、22)と場合によれば基板とに埋め込まれた、少なくとも1つの第1導電性構造(3、26)と少なくとも1つの第2導電性構造(4、24)とを有し、第1導電性構造(3、26)と第2導電性構造(4、24)とは互いに異なる金属含有する構造であり、第1導電性構造(3、26)と第2導電性構造(4、24)の上面が同一平面上に位置する半導体デバイスの製造方法であって、
    基板の表面上に能動要素を含む半導体基板(1)を準備する工程と、
    基板の表面上、またはこの表面上の他の誘電体層上に、誘電体材料のトップ層(2)を堆積する工程と、
    少なくともトップ層(2)を通る少なくとも1つの第1開口部(7)をエッチングし、少なくとも第1導電性材料(8)を用いて第1開口部を充填し、第1化学機械研磨(CMP)工程を行って、第1導電性構造(3、26)を形成する工程と、
    少なくともトップ層(2)を通る少なくとも1つの第2開口部(13)をエッチングし、少なくとも第2導電性材料(10)を用いて第2開口部を充填し、第2CMP工程を行って、第2導電性構造(4、24)を形成する工程と、を含み、
    さらに、第1開口部(7)をエッチングし充填する工程の前に、トップ層(2)の上に共通のCMPストップ層(5、25)を堆積して、この共通のCMPストップ層を、第1開口部の充填後のCMPプロセスと第2開口部の充填後のCMPプロセスとを停止するために使用する工程を含み、
    誘電体材料のトップ層は、インターメタル誘電体層であり、第1導電性材料で充填される少なくとも1つの第1開口部は、下層の相互接続層を接続するための相互接続バイアであり、第2導電性材料で充填される少なくとも1つの第2開口部は、下にあるICを接続するためのスルーサブストレイトバイア(24)である半導体デバイスの製造方法。
  3. 半導体デバイスの能動要素とプレメタル誘電体スタック(2)とを含む基板(1)を最初に準備する工程と、
    CMPストップ層(5)を堆積する工程と、
    感光性層(6)を堆積し、この感光性層中に開口部をパターニングして、プレメタル誘電体スタック(2)中にコンタクトバイアを規定する工程と、
    プレメタル誘電体中にパターニングされた開口部内のプレメタル誘電体スタック(2)中にコンタクトバイア(7)をエッチングし、続いて残りの感光性材料を除去する工程と、
    コンタクトバイアの側壁上に誘電体とバリア材料を堆積し、続いてコンタクトバイアを第1導電性材料で充填する工程と、
    過剰なバリア材料と第1導電性材料を、化学機械研磨(CMP)を用いて、CMPストップ層に対して選択的に除去する工程と、
    感光性層(12)を堆積し、感光性層中に開口部をパターニングして、スルーサブストレイトバイア(TSV)を規定する工程と、
    プレメタル誘電体スタック(2)中と部分的に下層の基板(1)中にスルーサブストレイトバイア(TSV)(13)をエッチングし、続いて残った感光性材料を除去する工程と、
    誘電体とバリア材料(9)と、選択的にシード層とを、スルーサブストレイトバイア(TSV)(13)の側壁上に堆積し、続いてTSVを第2導電性材料(10)で充填する工程と、
    過剰なバリア材料(9)と第2導電性材料(10)を、CMPストップ層(5)を用いた化学機械研磨(CMP)を用いて除去する工程と、を含む請求項に記載の製造方法。
  4. インターメタル誘電体に埋め込まれたn−1層のバイアおよびトレンチを含む、少なくとも部分的に完成したインターメタル誘電体スタックを含む基板を最初に準備する工程と、
    インターメタル誘電体層を堆積して、n層目のインターメタル誘電体スタックを形成する工程と、
    堆積したn層目のインターメタル誘電体層の上にCMPストップ層を堆積する工程と、
    CMPストップ層の上に感光性層を堆積し、感光性層中に開口部をパターニングして、堆積したn層目のインターメタル誘電体層中にトレンチおよび/またはバイアを規定する工程と、
    堆積したn層目のインターメタル誘電体層中にパターニングされた開口部内に、トレンチおよび/またはバイアをエッチングし、続いて残った感光性材料を除去する工程と、
    トレンチおよび/またはバイアの側壁上に、誘電体、バリア、および/またはシード材料を堆積し、続いてトレンチおよび/またはバイアを第1導電性材料で充填する工程と、
    過剰な誘電体、バリア、および選択的にシード材料、および第1導電性材料を、CMPストップ層を用いた化学機械研磨(CMP)を用いて除去する工程と、
    感光性層を堆積し、感光性層中に開口部をパターニングして、スルーサブストレイトバイア(TSV)を規定する工程と、
    n層目の堆積したインターメタル誘電体層中と更に延びて下層の基板中に、スルーサブストレイトバイア(TSV)をエッチングし、続いて残った感光性材料を除去する工程と、
    誘電体、バリア、および選択的にシード層を、スルーサブストレイトバイア(TSV)の側壁上に堆積し、続いてTSVを第2導電性材料で充填する工程と、
    過剰な誘電体、バリア、および選択的にシード材料、および第2導電性材料を、CMPストップ層を用いた化学機械研磨(CMP)を用いて除去する工程と、を含む請求項に記載の製造方法。
  5. CMPストップ層(5)は、炭化シリコン(SiC)層、窒化シリコン(SiN)層、シリコンオキシカーバイド(SiOC)層、シリコンオキシナイトライド(SiON)層から選択され、この層は化学気相成長(CVD)を用いて堆積される請求項1〜のいずれか1つに記載の製造方法。
JP2009274078A 2008-12-02 2009-12-02 集積回路用相互接続構造の製造方法 Active JP6029802B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11926708P 2008-12-02 2008-12-02
US61/119,267 2008-12-02

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015094163A Division JP2015181177A (ja) 2008-12-02 2015-05-01 集積回路用相互接続構造の製造方法

Publications (2)

Publication Number Publication Date
JP2010153848A JP2010153848A (ja) 2010-07-08
JP6029802B2 true JP6029802B2 (ja) 2016-11-24

Family

ID=41719412

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009274078A Active JP6029802B2 (ja) 2008-12-02 2009-12-02 集積回路用相互接続構造の製造方法
JP2015094163A Pending JP2015181177A (ja) 2008-12-02 2015-05-01 集積回路用相互接続構造の製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2015094163A Pending JP2015181177A (ja) 2008-12-02 2015-05-01 集積回路用相互接続構造の製造方法

Country Status (3)

Country Link
US (1) US8252659B2 (ja)
EP (1) EP2194574B1 (ja)
JP (2) JP6029802B2 (ja)

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8564103B2 (en) * 2009-06-04 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an electronic device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8222139B2 (en) * 2010-03-30 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
KR20120000748A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8367553B2 (en) 2010-12-07 2013-02-05 United Microelectronics Corp. Method for manufacturing through-silicon via
JP5601380B2 (ja) * 2010-12-28 2014-10-08 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5733002B2 (ja) * 2011-04-28 2015-06-10 富士通セミコンダクター株式会社 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8587127B2 (en) * 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130043556A1 (en) 2011-08-17 2013-02-21 International Business Machines Corporation Size-filtered multimetal structures
US10134631B2 (en) 2011-08-17 2018-11-20 International Business Machines Corporation Size-filtered multimetal structures
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN104078416B (zh) * 2013-03-28 2017-02-22 中芯国际集成电路制造(上海)有限公司 硅通孔布局结构、硅通孔互联结构的形成方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104347477B (zh) * 2013-07-24 2018-06-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US20150069608A1 (en) * 2013-09-11 2015-03-12 International Business Machines Corporation Through-silicon via structure and method for improving beol dielectric performance
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10049981B2 (en) * 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
FR3061354B1 (fr) * 2016-12-22 2021-06-11 Commissariat Energie Atomique Procede de realisation de composant comprenant des materiaux iii-v et des contacts compatibles de filiere silicium
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US10804184B2 (en) 2018-11-30 2020-10-13 Nanya Technology Corporation Semiconductor device and method of manufacturing the same
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11521915B2 (en) * 2020-02-26 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Front-end-of-line (FEOL) through semiconductor-on-substrate via (TSV)
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4011695B2 (ja) * 1996-12-02 2007-11-21 株式会社東芝 マルチチップ半導体装置用チップおよびその形成方法
JP3447941B2 (ja) * 1998-01-05 2003-09-16 株式会社東芝 半導体装置及びその製造方法
US6680248B2 (en) * 1998-06-01 2004-01-20 United Microelectronics Corporation Method of forming dual damascene structure
US6255211B1 (en) 1998-10-02 2001-07-03 Texas Instruments Incorporated Silicon carbide stop layer in chemical mechanical polishing over metallization layers
US6245669B1 (en) * 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
WO2002001627A1 (fr) * 2000-06-26 2002-01-03 Hitachi, Ltd. Dispositif a semi-conducteur et procede de fabrication associe
US6395632B1 (en) * 2000-08-31 2002-05-28 Micron Technology, Inc. Etch stop in damascene interconnect structure and method of making
US6583053B2 (en) 2001-03-23 2003-06-24 Texas Instruments Incorporated Use of a sacrificial layer to facilitate metallization for small features
US6881999B2 (en) * 2002-03-21 2005-04-19 Samsung Electronics Co., Ltd. Semiconductor device with analog capacitor and method of fabricating the same
US6849518B2 (en) * 2002-05-07 2005-02-01 Intel Corporation Dual trench isolation using single critical lithographic patterning
US6838352B1 (en) * 2002-07-05 2005-01-04 Newport Fab, Llc. Damascene trench capacitor for mixed-signal/RF IC applications
US6998216B2 (en) * 2002-09-24 2006-02-14 Intel Corporation Mechanically robust interconnect for low-k dielectric material using post treatment
JP3891299B2 (ja) * 2003-05-06 2007-03-14 セイコーエプソン株式会社 半導体装置の製造方法、半導体装置、半導体デバイス、電子機器
US7208404B2 (en) * 2003-10-16 2007-04-24 Taiwan Semiconductor Manufacturing Company Method to reduce Rs pattern dependence effect
US7326629B2 (en) * 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
JP5186086B2 (ja) * 2005-04-11 2013-04-17 アイメック デュアル・ダマシン・パターニング・アプローチ
JP2007012894A (ja) * 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP4778765B2 (ja) * 2005-10-07 2011-09-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
EP1949432B1 (en) * 2005-11-08 2017-10-18 Invensas Corporation Producing a covered through substrate via using a temporary cap layer
US7807583B2 (en) 2006-08-25 2010-10-05 Imec High aspect ratio via etch
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
JP5326202B2 (ja) * 2006-11-24 2013-10-30 富士通株式会社 半導体装置及びその製造方法
CN100517655C (zh) * 2006-12-08 2009-07-22 中芯国际集成电路制造(上海)有限公司 Sonos快闪存储器及其制作方法
US7615480B2 (en) 2007-06-20 2009-11-10 Lam Research Corporation Methods of post-contact back end of the line through-hole via integration
US7898063B2 (en) * 2008-02-16 2011-03-01 International Business Machines Corporation Through substrate annular via including plug filler
US7951704B2 (en) * 2008-05-06 2011-05-31 Spansion Llc Memory device peripheral interconnects and method of manufacturing
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
JP2010010324A (ja) * 2008-06-26 2010-01-14 Toshiba Corp 半導体装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
JP2015181177A (ja) 2015-10-15
JP2010153848A (ja) 2010-07-08
EP2194574A2 (en) 2010-06-09
US20100133660A1 (en) 2010-06-03
US8252659B2 (en) 2012-08-28
EP2194574B1 (en) 2018-11-07
EP2194574A3 (en) 2012-03-21

Similar Documents

Publication Publication Date Title
JP6029802B2 (ja) 集積回路用相互接続構造の製造方法
US10504776B2 (en) Methods for forming through-substrate vias penetrating inter-layer dielectric
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US7541276B2 (en) Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
US9966336B2 (en) Hybrid interconnect scheme and methods for forming the same
US9627318B2 (en) Interconnect structure with footing region
US7786584B2 (en) Through substrate via semiconductor components
US20080182405A1 (en) Self-aligned air-gap in interconnect structures
US20120083116A1 (en) Cost-Effective TSV Formation
US20080157380A1 (en) Method for forming metal interconnection of semiconductor device
US20080174022A1 (en) Semiconductor device and fabrication method thereof
US7056826B2 (en) Method of forming copper interconnects
US6495448B1 (en) Dual damascene process
US9093455B2 (en) Back-end-of-line (BEOL) interconnect structure
US8822342B2 (en) Method to reduce depth delta between dense and wide features in dual damascene structures
US20120142190A1 (en) Method for manufacturing through-silicon via
US10832946B1 (en) Recessed interconnet line having a low-oxygen cap for facilitating a robust planarization process and protecting the interconnect line from downstream etch operations
US9431292B1 (en) Alternate dual damascene method for forming interconnects
US9490165B2 (en) Reliable interconnect integration scheme
US10453794B2 (en) Interconnect structure for semiconductor devices
US7662711B2 (en) Method of forming dual damascene pattern
KR20090024854A (ko) 반도체 소자의 금속배선 및 그 형성방법
US8048799B2 (en) Method for forming copper wiring in semiconductor device
JP2008108892A (ja) 半導体装置の配線形成方法及び配線

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121024

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140128

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140428

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140502

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140502

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150501

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150514

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20150904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160804

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161019

R150 Certificate of patent or registration of utility model

Ref document number: 6029802

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250