US20190109090A1 - Interconnection structure lined by isolation layer - Google Patents

Interconnection structure lined by isolation layer Download PDF

Info

Publication number
US20190109090A1
US20190109090A1 US16/045,546 US201816045546A US2019109090A1 US 20190109090 A1 US20190109090 A1 US 20190109090A1 US 201816045546 A US201816045546 A US 201816045546A US 2019109090 A1 US2019109090 A1 US 2019109090A1
Authority
US
United States
Prior art keywords
conductive structure
layer
dielectric layer
semiconductor device
isolation layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/045,546
Inventor
Hsin-Liang Chen
Chun-Yen Yeh
Yu-Hsin FANG
Han-Tang Lo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/045,546 priority Critical patent/US20190109090A1/en
Priority to TW107128346A priority patent/TWI726231B/en
Priority to CN201810921906.5A priority patent/CN109411358B/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, HSIN-LIANG, FANG, YU-HSIN, YEH, CHUN-YEN
Publication of US20190109090A1 publication Critical patent/US20190109090A1/en
Priority to US17/569,831 priority patent/US20220139833A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Definitions

  • the increased functional density has increased the complexity of semiconductor devices, for example, by decreasing the distance between adjacent conductive features.
  • an effect of parasitic coupling between the adjacent conductive features may become increasingly significant.
  • Such an increasingly significant parasitic coupling effect between the adjacent conductive features typically results in a higher resistance-capacitance (RC) delay, which degrades the overall performance of the semiconductor devices.
  • RC resistance-capacitance
  • a low-k dielectric material has been used to form the isolation layer to separate the adjacent conductive features because its low dielectric constant is useful in reducing the RC delay that is positively proportional to the dielectric constant. Accordingly, the lower the dielectric constant is, the lower the RC delay is.
  • the low-k dielectric material is typically porous, which can be quantitated by a respective “porosity.” In general, the lower the dielectric constant is, the higher the porosity is. It is understood that such a high porosity of the isolation layer can disadvantageously cause various issues such as, for example, less reliable isolation of the isolation layer, contamination on adjacent conductive feature(s), etc. In other words, a trade-off between the porosity (e.g., a corresponding isolation ability) and dielectric constant (e.g., a corresponding RC delay) of the isolation layer exists.
  • FIG. 1 illustrates a flow chart of a method for forming a semiconductor device, in accordance with some embodiments.
  • FIGS. 2A, 2B, 2C, 2D, 2E, 2F, 2G, and 2H illustrate cross-sectional views of a semiconductor device during various fabrication stages, made by the method of FIG. 1 , in accordance with some embodiments.
  • FIG. 3 illustrates a cross-sectional view of another semiconductor device during one fabrication stage, made by most of the operations of the method of FIG. 1 , in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the present disclosure provides various embodiments of a semiconductor device having one or more conductive structures (or features) that are each embedded in a low-k dielectric material with an isolation dielectric layer coupled therebetween.
  • Each of the conductive structures may serve as an interconnection structure of the semiconductor device that is configured to electrically couple to another structure/feature/device.
  • the isolation dielectric layer extends along the respective sidewalls of each of the conductive structures, and partially or fully extend along the respective lower boundary of each of the conductive structures.
  • the disclosed isolation dielectric layer surrounding, at least part of, each of the conductive structures can eliminate the above-mentioned trade-off.
  • the isolation dielectric layer can further isolate the conductive structure from any adjacent conductive structure(s). Accordingly, the dielectric constant of the low-k dielectric material can be optimally minimized without concerning about the aforementioned porosity issue of the low-k dielectric material.
  • FIG. 1 illustrates a flowchart of a method 100 to form a semiconductor device, including one or more conductive structures at least partially surrounded by the disclosed isolation dielectric layer, according to one or more embodiments of the present disclosure.
  • the method 100 is merely an example, and is not intended to limit the present disclosure. Accordingly, it is understood that additional operations may be provided before, during, and after the method 100 of FIG. 1 , and that some other operations may only be briefly described herein.
  • operations of the method 100 may be associated with cross-sectional views of a semiconductor device at various fabrication stages as shown in FIGS. 2A, 2B, 2C, 2D, 2E, 2F, 2G, and 2H , respectively, which will be discussed in further detail below.
  • the method 100 starts with operation 102 in which a substrate including at least one conductive feature is provided.
  • the method 100 continues to operation 104 in which an etch stop layer is formed over the substrate.
  • the method 100 continues to operation 106 in which a low-k dielectric layer is formed over the etch stop layer.
  • the method 100 continues to operation 108 in which a recessed cavity extending through the low-k dielectric layer and etch stop layer is formed.
  • the recessed cavity is formed to expose at least a portion of an upper boundary of the conductive feature of the substrate, which will be discussed in further detail below.
  • the method 100 continues to operation 110 in which an isolation dielectric layer is formed over the recessed cavity and the low-k dielectric layer.
  • the method 100 continues to operation 112 in which a portion of the isolation dielectric layer, overlaying the at least one conductive feature, is removed.
  • the method 100 continues to operation 114 in which a metal layer is formed over the substrate to refill the recessed cavity.
  • the method 100 continues to operation 116 in which a polishing process is performed to form a conductive structure.
  • the conductive structure, at least partially surrounded by the isolation dielectric layer is configured to electrically couple the conductive feature of the substrate to one or more other conductive structures.
  • such an isolation dielectric layer is formed to provide further isolation to the low-k dielectric layer to allow the dielectric constant of the low-k dielectric material to be optimally minimized without concerning about the porosity issue.
  • FIGS. 2A-2H illustrate, in a cross-sectional view, a portion of a semiconductor device 200 , including a conductive structure at least partially surrounded by the disclosed isolation dielectric layer, at various fabrication stages of the method 100 of FIG. 1 .
  • the semiconductor device 200 may be included in a microprocessor, memory cell, and/or other integrated circuit (IC).
  • FIGS. 2A-2H are simplified for a better understanding of the concepts of the present disclosure.
  • the figures illustrate the semiconductor device 200 , it is understood the IC may comprise a number of other devices such as resistors, capacitors, inductors, fuses, etc., which are not shown in FIGS. 2A-2H , for purposes of clarity of illustration.
  • FIG. 2A is a cross-sectional view of the semiconductor device 200 including a bottom layer 202 with at least one conductive feature 204 at one of the various stages of fabrication, in accordance with some embodiments.
  • the semiconductor device 200 in the illustrated embodiment of FIG. 2A includes only one conductive feature 204 , it is understood that the illustrated embodiment of FIG. 2A and the following figures are merely provided for illustration purposes. Thus, the semiconductor device 200 may include any desired number of conductive features while remaining within the scope of the present disclosure.
  • the bottom layer 202 represents a substrate, which includes a semiconductor material substrate, for example, silicon.
  • the bottom layer 202 may include other elementary semiconductor material such as, for example, germanium.
  • the bottom layer 202 may also include a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, and indium phosphide.
  • the bottom layer 202 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide.
  • the bottom layer 202 includes an epitaxial layer.
  • the substrate may have an epitaxial layer overlying a bulk semiconductor.
  • the bottom layer 202 may include a semiconductor-on-insulator (SOI) structure.
  • the substrate may include a buried oxide (BOX) layer formed by a process such as separation by implanted oxygen (SIMOX) or other suitable technique, such as wafer bonding and grinding.
  • BOX buried oxide
  • SIMOX separation by implanted oxygen
  • the conductive feature 204 may be a source, drain, or gate electrode of a transistor (e.g., a metal-oxide-semiconductor-field-effect-transistor (MOSFET)).
  • MOSFET metal-oxide-semiconductor-field-effect-transistor
  • the conductive feature 204 may be a salicide feature disposed on the source, the drain or the gate electrode.
  • the silicide feature may be formed by a self-aligned salicide (typically known as “salicide”) technique.
  • the bottom layer 202 represents a dielectric material layer formed over various device features (e.g., a source, drain, or gate electrode of a transistor), while the conductive feature 204 represents a metal feature (e.g., M1, the first level metal feature).
  • a bottom layer 202 may include at least one of: silicon oxide, a comparatively low dielectric constant (k value) dielectric material with a k value less than about 4.0, or combinations thereof.
  • the bottom layer 202 is formed of a material, including a low-k dielectric material, an extreme low-k dielectric material, a porous low-k dielectric material, and a combination thereof.
  • low-k is intended to define a dielectric constant of a dielectric material of 3.0 or less.
  • extreme low-k refers to a dielectric constant of 2.5 or less, and preferably between 1.9 and 2.5.
  • porous low-k refers to a dielectric constant of a dielectric material of 2.0 or less, and preferably 1.5 or less.
  • low-k materials may be employed by some embodiments of the present disclosure such as, for example, spin-on inorganic dielectrics, spin-on organic dielectrics, porous dielectric materials, organic polymer, organic silica glass, FSG (SiOF series material), HSQ (hydrogen silsesquioxane) series material, MSQ (methyl silsesquioxane) series material, or porous organic series material.
  • the conductive feature 204 may be a horizontal conductive structure, a vertical conductive structure, or a combination thereof formed within the bottom layer 202 .
  • the conductive feature 204 may be an interconnection structure (i.e., a horizontal conductive structure), a via structure (i.e., a vertical conductive structure), or a combination thereof.
  • the conductive feature 204 may be electrically coupled to a device feature of a transistor, for example, a source, drain, or gate feature of the transistor that is disposed below the tier, or interconnect level, where the bottom layer 202 is disposed.
  • the conductive feature 204 may be formed of a metal material (e.g., copper (Cu), aluminum (Al), tungsten (W), etc.).
  • FIG. 2B is a cross-sectional view of the semiconductor device 200 including an etch stop layer 206 at one of the various stages of fabrication, in accordance with some embodiments. As shown, the etch stop layer 206 is formed over the bottom layer 202 and the conductive feature 204 .
  • the etch stop layer 206 functions for controlling the end point during subsequent etching processes.
  • the etch stop layer 206 is formed of silicon oxide, silicon nitride, silicon carbide, silicon oxynitride or a combination thereof.
  • the etch stop layer 206 has a thickness of about 10 angstroms to about 1000 angstroms.
  • the etch stop layer 206 is formed through any of a variety of deposition techniques, including, LPCVD (low-pressure chemical vapor deposition), APCVD (atmospheric-pressure chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), PVD (physical vapor deposition), sputtering, and current/future-developed deposition procedures.
  • LPCVD low-pressure chemical vapor deposition
  • APCVD atmospheric-pressure chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • sputtering and current/future-developed deposition procedures.
  • FIG. 2C is a cross-sectional view of the semiconductor device 200 including a low-k dielectric layer 208 at one of the various stages of fabrication, in accordance with some embodiments.
  • the low-k dielectric layer 208 is formed over the etch stop layer 206 , which may be formed as a single-layer or a multi-layer structure.
  • the low-k dielectric layer 208 having a thickness varies with the applied technology, for example a thickness of about 1000 angstroms to about 30000 angstroms.
  • the low-k dielectric layer 208 is formed of a material selected from the group consisting of: the above-described low-k dielectric material, extreme low-k dielectric material, porous low-k dielectric material, and a combination thereof.
  • the low-k dielectric layer 208 is deposited through any of a variety of techniques, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), remote plasma enhanced chemical vapor deposition (RPECVD), liquid source misted chemical deposition (LSMCD), coating, spin-coating or another process that is adapted to form a thin film layer over the etch stop layer 206 .
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • RECVD remote plasma enhanced chemical vapor deposition
  • LSMCD liquid source misted chemical deposition
  • FIG. 2D is a cross-sectional view of the semiconductor device 200 including a recessed cavity 212 at one of the various stages of fabrication, in accordance with some embodiments.
  • the recessed cavity 212 which includes a lower via-hole section 212 L and an upper trench section 212 U, is formed to extend through the etch stop layer 206 and the low-k dielectric layer 208 .
  • the upper trench section 212 U has sidewalls 212 U_S and bottom boundary 212 U-B both defined by the low-k dielectric layer 208 ; and the lower via-hole section 212 L has sidewalls 212 L_S and bottom boundary 212 L_B defined by the low-k dielectric layer 208 and exposes a portion of the upper boundary of the conductive feature 204 , respectively.
  • the sidewalls 212 L_S of the lower via-hole section 212 L extends from the bottom boundary 212 U_B of the upper trench section 212 U along a direction (e.g., a substantially vertical direction) toward the bottom layer 202 .
  • the recessed cavity 212 after the formation of the recessed cavity 212 , at least the portion of the upper boundary of the conductive feature 204 , abutted by the bottom boundary 212 L_B of the lower via-hole section 212 L, is exposed.
  • the recess cavity 212 is an exemplary dual damascene opening that is patterned in the etch stop layer 206 and the low-k dielectric layer 208 to define a contact region over the bottom layer 202 .
  • FIG. 2D illustrate dual damascene opening in the low-k dielectric layer 208 (typically referred to as an inter-metal dielectric (IMD) layer), the use of single damascene opening in the IMD layer also provide values.
  • IMD inter-metal dielectric
  • the upper trench section 212 U and the lower via-hole section 212 L may be formed using a typical lithographic with masking technologies and anisotropic etch operation (e.g. plasma etching or reactive ion etching).
  • anisotropic etch operation e.g. plasma etching or reactive ion etching.
  • a bottom etch stop layer (not shown), a middle etch stop layer (not shown), a polish stop layer (not shown), or an anti-reflective coating (ARC) layer (not shown) is deposited on or intermediately in the low-k dielectric layer 208 , providing a clear indicator of when to end a particular etching process.
  • ARC anti-reflective coating
  • FIG. 2E is a cross-sectional view of the semiconductor device 200 including an isolation dielectric layer 214 at one of the various stages of fabrication, in accordance with some embodiments.
  • the isolation dielectric layer 214 is formed to overlay the low-k dielectric layer 208 and the recessed cavity 212 formed therein. More specifically, the isolation dielectric layer 214 overlays an upper boundary of the low-k dielectric layer 208 ; lines the sidewalls 212 U_S and bottom boundary 212 U_B of the upper trench section 212 U; and lines the sidewalls 212 L_S and bottom boundary 212 L_B of the lower via-hole section 212 L.
  • the isolation dielectric layer 214 is formed of a non-conductive material selected from the group consisting of: silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, and a combination thereof.
  • the isolation dielectric layer 214 which has a thickness of about 50 angstroms to about 300 angstroms, is deposited through any of a variety of techniques, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), remote plasma enhanced chemical vapor deposition (RPECVD), liquid source misted chemical deposition (LSMCD), coating, spin- coating or another process that is adapted to form a thin film layer lining the above-described boundaries/sidewalls.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • RECVD remote plasma enhanced chemical vapor deposition
  • LSMCD liquid source misted chemical deposition
  • FIG. 2F is a cross-sectional view of the semiconductor device 200 in which a portion of the isolation dielectric layer 214 is removed at one of the various stages of fabrication, in accordance with some embodiments.
  • the portion of the isolation dielectric layer 214 that overlays the conductive feature 204 is removed such that the portion of the upper boundary of the conductive feature 204 is re-exposed.
  • an anisotropic etching process e.g., a dry etching process
  • FIG. 2G is a cross-sectional view of the semiconductor device 200 including a metal layer 216 at one of the various stages of fabrication, in accordance with some embodiments.
  • the metal layer 216 is formed to overlay the isolation dielectric layer 214 and the re-exposed conductive feature 204 such that the lower via-hole section 212 L and the upper trench section 212 U of the recessed cavity 212 are respectively refilled by such a metal layer 216 .
  • the metal layer 216 may be formed of a metal material (e.g., copper (Cu), aluminum (Al), tungsten (W), etc.).
  • the metal layer 216 is deposited through any of a variety of techniques, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), E-gun, and/or other suitable techniques to overlay the isolation dielectric layer 214 and the re-exposed conductive feature 204 with at least one of the above-mentioned metal materials.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • E-gun E-gun
  • FIG. 2H is a cross-sectional view of the semiconductor device 200 including a conductive structure 218 at one of the various stages of fabrication, in accordance with some embodiments.
  • the conductive structure 218 is formed after the portion of metal layer 216 ( FIG. 2G ) over the low-k dielectric layer 205 is removed.
  • the conductive structure 218 may include two sections: an upper section 218 U filling the upper trench section 212 U ( FIG. 2F ) and a lower section 218 L filling the lower via-hole section 212 L ( FIG. 2F ).
  • the upper section 218 U has sidewalls 218 U_S and bottom boundary 218 U_B both coupling the low-k dielectric layer 208 with respective portions (e.g., 214 - 1 and 214 - 2 ) of the isolation dielectric layer 214 disposed therebetween.
  • the lower section 218 L has sidewalls 218 L_S coupling the low-k dielectric layer 208 with respective portions (e.g., 214 - 3 ) of the isolation dielectric layer 214 disposed therebetween, and a bottom boundary 218 L_B abutting the portion of the upper boundary of the conductive feature 204 .
  • the sidewalls 218 U_S of the upper section 218 U are each isolated from the low-k dielectric layer 208 by the portion 214 - 1 of the isolation dielectric layer 214 lining the sidewall 218 U_S; the bottom boundary 218 U_B of the upper section 218 U is isolated from the low-k dielectric layer 208 by the portion 214 - 2 of the isolation dielectric layer 214 lining the bottom boundary 218 U_B; and the sidewalls 218 L_S of the lower section 218 L are each isolated from the low-k dielectric layer 208 by the portion 214 - 3 of the isolation dielectric layer 214 lining the sidewall 218 L_S.
  • the sidewalls 218 L_S of the lower section 218 L extends from the bottom boundary 218 U_B of the upper section 218 U along a direction (e.g., a substantially vertical direction) toward the bottom layer 202 .
  • the removing process includes a chemical mechanical polishing (CMP) process performed to remove the excess portions of the metal layer 216 and the isolation dielectric layer 214 outside the recessed cavity 212 ( FIG. 2G ) (i.e., the portion of metal layer 216 extending beyond the sidewalls 212 U_S), thereby exposing the upper boundary of the low-k dielectric layer 208 and achieving a planarized surface.
  • CMP chemical mechanical polishing
  • the disclosed isolation dielectric layer can further isolate the conductive structures from any adjacent conductive structure(s).
  • the low-k dielectric layer can suffer less stress from the conductive structures.
  • the dielectric constant of the low-k dielectric layer can be optimally minimized (to improve the RC delay) without concerning about the porosity issue of the low-k dielectric layer.
  • FIG. 3 illustrates a semiconductor device 300 , made by most of the operations of the method 100 of FIG. 1 , that is substantially similar to the semiconductor device 200 , according to some embodiments of the present disclosure.
  • the semiconductor device 300 also includes a substrate 302 , a conductive feature 304 , an etch stop layer 306 , a low-k dielectric layer 308 , an isolation dielectric layer 314 , and a conductive structure 318 , which are substantially similar to the bottom layer 202 , the conductive feature 204 , the etch stop layer 206 , the low-k dielectric layer 208 , the isolation dielectric layer 214 , and the conductive structure 318 , respectively.
  • the conductive structure 318 does not have a lower section (e.g., 218 L of FIG. 2H ).
  • the conductive structure 318 has sidewalls 318 _S and bottom boundary 318 _B both coupling the low-k dielectric layer 308 with respective portions (e.g., 314 - 1 and 314 - 2 ) of the isolation dielectric layer 314 disposed therebetween.
  • the sidewalls 318 _S of the conductive structure 318 are each isolated from the low-k dielectric layer 308 by the portion 314 - 1 of the isolation dielectric layer 314 lining the sidewall 318 _S; and the bottom boundary 318 _B of the conductive structure 318 is isolated from the low-k dielectric layer 308 by the portion 314 - 2 of the isolation dielectric layer 314 lining the bottom boundary 318 _B.
  • such a conductive structure 318 can still be made by the operations of the method 100 of FIG. 1 except that in operation 108 , the recessed cavity may be formed to have only the trench section, which may be a single damascene technique or part of the dual damascene technique, and operation 112 may become optional.
  • a semiconductor device includes: a first conductive structure that comprises a first portion having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and an isolation layer comprising a first portion and a second portion, wherein the first portion of the isolation layer lines the sidewalls of the first portion of the first conductive structure, and the second portion of the isolation layer lines at least a portion of the bottom surface of the first portion of the first conductive structure.
  • a semiconductor device in another embodiment, includes: a first conductive structure having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and an isolation layer comprising a first portion and a second portion, wherein the first portion of the isolation layer lines sidewalls of the first conductive structure, and the second portion of the isolation layer lines the bottom surface of the first conductive structure.
  • a semiconductor device in yet another embodiment, includes: a first conductive structure that comprises a first portion having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and a first isolation layer comprising a first portion and a second portion, wherein the first portion of the first isolation layer lines sidewalls of the first portion of the first conductive structure, and the second portion of the first isolation layer, extending from the first portion, lines a first portion of the bottom surface of the first portion of the first conductive structure.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A semiconductor device includes: a first conductive structure that comprises a first portion having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and an isolation layer comprising a first portion and a second portion, wherein the first portion of the isolation layer lines the sidewalls of the first portion of the first conductive structure, and the second portion of the isolation layer lines at least a portion of the bottom surface of the first portion of the first conductive structure.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • The present application claims priority to U.S. Provisional Patent Application No. 62/545,681, filed on Aug. 15, 2017, which is incorporated by reference herein in its entirety.
  • BACKGROUND
  • The semiconductor industry has made significant advancements in its pursuit of higher device density with lower cost. In the course of semiconductor device evolution, functional density (for example, the number of interconnected conductive features per chip area) has generally increased while geometry sizes have decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs.
  • However, the increased functional density has increased the complexity of semiconductor devices, for example, by decreasing the distance between adjacent conductive features. As such, an effect of parasitic coupling between the adjacent conductive features may become increasingly significant. Such an increasingly significant parasitic coupling effect between the adjacent conductive features typically results in a higher resistance-capacitance (RC) delay, which degrades the overall performance of the semiconductor devices.
  • To this end, a low-k dielectric material has been used to form the isolation layer to separate the adjacent conductive features because its low dielectric constant is useful in reducing the RC delay that is positively proportional to the dielectric constant. Accordingly, the lower the dielectric constant is, the lower the RC delay is. However, the low-k dielectric material is typically porous, which can be quantitated by a respective “porosity.” In general, the lower the dielectric constant is, the higher the porosity is. It is understood that such a high porosity of the isolation layer can disadvantageously cause various issues such as, for example, less reliable isolation of the isolation layer, contamination on adjacent conductive feature(s), etc. In other words, a trade-off between the porosity (e.g., a corresponding isolation ability) and dielectric constant (e.g., a corresponding RC delay) of the isolation layer exists.
  • Therefore, conventional methods to isolate conductive features by isolation layers formed of low-k dielectric materials are not entirely satisfactory.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that various features are not necessarily drawn to scale. In fact, the dimensions and geometries of the various features may be arbitrarily increased or reduced for clarity of illustration.
  • FIG. 1 illustrates a flow chart of a method for forming a semiconductor device, in accordance with some embodiments.
  • FIGS. 2A, 2B, 2C, 2D, 2E, 2F, 2G, and 2H illustrate cross-sectional views of a semiconductor device during various fabrication stages, made by the method of FIG. 1, in accordance with some embodiments.
  • FIG. 3 illustrates a cross-sectional view of another semiconductor device during one fabrication stage, made by most of the operations of the method of FIG. 1, in accordance with some embodiments.
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
  • The following disclosure describes various exemplary embodiments for implementing different features of the subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • The present disclosure provides various embodiments of a semiconductor device having one or more conductive structures (or features) that are each embedded in a low-k dielectric material with an isolation dielectric layer coupled therebetween. Each of the conductive structures may serve as an interconnection structure of the semiconductor device that is configured to electrically couple to another structure/feature/device. In accordance with some embodiments of the present disclosure, the isolation dielectric layer extends along the respective sidewalls of each of the conductive structures, and partially or fully extend along the respective lower boundary of each of the conductive structures. As such, when a plurality of conductive structures are to be formed over the semiconductor device, which typically arises various issues in conventional semiconductor devices, the disclosed isolation dielectric layer surrounding, at least part of, each of the conductive structures can eliminate the above-mentioned trade-off. For example, with the isolation dielectric layer at least partially surrounding the conductive structure, in addition to the low-k dielectric material, the isolation dielectric layer can further isolate the conductive structure from any adjacent conductive structure(s). Accordingly, the dielectric constant of the low-k dielectric material can be optimally minimized without concerning about the aforementioned porosity issue of the low-k dielectric material.
  • FIG. 1 illustrates a flowchart of a method 100 to form a semiconductor device, including one or more conductive structures at least partially surrounded by the disclosed isolation dielectric layer, according to one or more embodiments of the present disclosure. It is noted that the method 100 is merely an example, and is not intended to limit the present disclosure. Accordingly, it is understood that additional operations may be provided before, during, and after the method 100 of FIG. 1, and that some other operations may only be briefly described herein. In some embodiments, operations of the method 100 may be associated with cross-sectional views of a semiconductor device at various fabrication stages as shown in FIGS. 2A, 2B, 2C, 2D, 2E, 2F, 2G, and 2H, respectively, which will be discussed in further detail below.
  • Referring now to FIG. 1, the method 100 starts with operation 102 in which a substrate including at least one conductive feature is provided. The method 100 continues to operation 104 in which an etch stop layer is formed over the substrate. The method 100 continues to operation 106 in which a low-k dielectric layer is formed over the etch stop layer. The method 100 continues to operation 108 in which a recessed cavity extending through the low-k dielectric layer and etch stop layer is formed. In some embodiments, the recessed cavity is formed to expose at least a portion of an upper boundary of the conductive feature of the substrate, which will be discussed in further detail below. The method 100 continues to operation 110 in which an isolation dielectric layer is formed over the recessed cavity and the low-k dielectric layer. The method 100 continues to operation 112 in which a portion of the isolation dielectric layer, overlaying the at least one conductive feature, is removed. The method 100 continues to operation 114 in which a metal layer is formed over the substrate to refill the recessed cavity. The method 100 continues to operation 116 in which a polishing process is performed to form a conductive structure. According to some embodiments of the present disclosure, the conductive structure, at least partially surrounded by the isolation dielectric layer, is configured to electrically couple the conductive feature of the substrate to one or more other conductive structures. In particular, as mentioned above, such an isolation dielectric layer is formed to provide further isolation to the low-k dielectric layer to allow the dielectric constant of the low-k dielectric material to be optimally minimized without concerning about the porosity issue.
  • As mentioned above, FIGS. 2A-2H illustrate, in a cross-sectional view, a portion of a semiconductor device 200, including a conductive structure at least partially surrounded by the disclosed isolation dielectric layer, at various fabrication stages of the method 100 of FIG. 1. The semiconductor device 200 may be included in a microprocessor, memory cell, and/or other integrated circuit (IC). Also, FIGS. 2A-2H are simplified for a better understanding of the concepts of the present disclosure. Although the figures illustrate the semiconductor device 200, it is understood the IC may comprise a number of other devices such as resistors, capacitors, inductors, fuses, etc., which are not shown in FIGS. 2A-2H, for purposes of clarity of illustration.
  • Corresponding to operation 102 of FIG. 1, FIG. 2A is a cross-sectional view of the semiconductor device 200 including a bottom layer 202 with at least one conductive feature 204 at one of the various stages of fabrication, in accordance with some embodiments. Although the semiconductor device 200 in the illustrated embodiment of FIG. 2A includes only one conductive feature 204, it is understood that the illustrated embodiment of FIG. 2A and the following figures are merely provided for illustration purposes. Thus, the semiconductor device 200 may include any desired number of conductive features while remaining within the scope of the present disclosure.
  • In some embodiments, the bottom layer 202 represents a substrate, which includes a semiconductor material substrate, for example, silicon. Alternatively, the bottom layer 202 may include other elementary semiconductor material such as, for example, germanium. The bottom layer 202 may also include a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, and indium phosphide. The bottom layer 202 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide. In one embodiment, the bottom layer 202 includes an epitaxial layer. For example, the substrate may have an epitaxial layer overlying a bulk semiconductor. Furthermore, the bottom layer 202 may include a semiconductor-on-insulator (SOI) structure. For example, the substrate may include a buried oxide (BOX) layer formed by a process such as separation by implanted oxygen (SIMOX) or other suitable technique, such as wafer bonding and grinding.
  • In the above-described embodiment where the bottom layer 202 includes a semiconductor material, the conductive feature 204 may be a source, drain, or gate electrode of a transistor (e.g., a metal-oxide-semiconductor-field-effect-transistor (MOSFET)). Alternatively, the conductive feature 204 may be a salicide feature disposed on the source, the drain or the gate electrode. The silicide feature may be formed by a self-aligned salicide (typically known as “salicide”) technique.
  • In some other embodiments, the bottom layer 202 represents a dielectric material layer formed over various device features (e.g., a source, drain, or gate electrode of a transistor), while the conductive feature 204 represents a metal feature (e.g., M1, the first level metal feature). Such a bottom layer 202 may include at least one of: silicon oxide, a comparatively low dielectric constant (k value) dielectric material with a k value less than about 4.0, or combinations thereof. In some embodiments, the bottom layer 202 is formed of a material, including a low-k dielectric material, an extreme low-k dielectric material, a porous low-k dielectric material, and a combination thereof. The term “low-k” is intended to define a dielectric constant of a dielectric material of 3.0 or less. The term “extreme low-k (ELK)” refers to a dielectric constant of 2.5 or less, and preferably between 1.9 and 2.5. The term “porous low-k” refers to a dielectric constant of a dielectric material of 2.0 or less, and preferably 1.5 or less. A wide variety of low-k materials may be employed by some embodiments of the present disclosure such as, for example, spin-on inorganic dielectrics, spin-on organic dielectrics, porous dielectric materials, organic polymer, organic silica glass, FSG (SiOF series material), HSQ (hydrogen silsesquioxane) series material, MSQ (methyl silsesquioxane) series material, or porous organic series material.
  • In such an embodiment where the bottom layer 202 is formed of a dielectric material, the conductive feature 204 may be a horizontal conductive structure, a vertical conductive structure, or a combination thereof formed within the bottom layer 202. For example, the conductive feature 204 may be an interconnection structure (i.e., a horizontal conductive structure), a via structure (i.e., a vertical conductive structure), or a combination thereof. Accordingly, the conductive feature 204 may be electrically coupled to a device feature of a transistor, for example, a source, drain, or gate feature of the transistor that is disposed below the tier, or interconnect level, where the bottom layer 202 is disposed. In such embodiments, the conductive feature 204 may be formed of a metal material (e.g., copper (Cu), aluminum (Al), tungsten (W), etc.).
  • Corresponding to operation 104 of FIG. 1, FIG. 2B is a cross-sectional view of the semiconductor device 200 including an etch stop layer 206 at one of the various stages of fabrication, in accordance with some embodiments. As shown, the etch stop layer 206 is formed over the bottom layer 202 and the conductive feature 204.
  • In some embodiments, the etch stop layer 206 functions for controlling the end point during subsequent etching processes. In some embodiments, the etch stop layer 206 is formed of silicon oxide, silicon nitride, silicon carbide, silicon oxynitride or a combination thereof. In some embodiments, the etch stop layer 206 has a thickness of about 10 angstroms to about 1000 angstroms. The etch stop layer 206 is formed through any of a variety of deposition techniques, including, LPCVD (low-pressure chemical vapor deposition), APCVD (atmospheric-pressure chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), PVD (physical vapor deposition), sputtering, and current/future-developed deposition procedures.
  • Corresponding to operation 106 of FIG. 1, FIG. 2C is a cross-sectional view of the semiconductor device 200 including a low-k dielectric layer 208 at one of the various stages of fabrication, in accordance with some embodiments. As shown, the low-k dielectric layer 208 is formed over the etch stop layer 206, which may be formed as a single-layer or a multi-layer structure. In some embodiments, the low-k dielectric layer 208 having a thickness varies with the applied technology, for example a thickness of about 1000 angstroms to about 30000 angstroms.
  • In some embodiments, the low-k dielectric layer 208 is formed of a material selected from the group consisting of: the above-described low-k dielectric material, extreme low-k dielectric material, porous low-k dielectric material, and a combination thereof. In some embodiments, the low-k dielectric layer 208 is deposited through any of a variety of techniques, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), remote plasma enhanced chemical vapor deposition (RPECVD), liquid source misted chemical deposition (LSMCD), coating, spin-coating or another process that is adapted to form a thin film layer over the etch stop layer 206.
  • Corresponding to operation 108 of FIG. 1, FIG. 2D is a cross-sectional view of the semiconductor device 200 including a recessed cavity 212 at one of the various stages of fabrication, in accordance with some embodiments. As illustrated, the recessed cavity 212, which includes a lower via-hole section 212L and an upper trench section 212U, is formed to extend through the etch stop layer 206 and the low-k dielectric layer 208.
  • More specifically, in some embodiments, the upper trench section 212U has sidewalls 212U_S and bottom boundary 212U-B both defined by the low-k dielectric layer 208; and the lower via-hole section 212L has sidewalls 212L_S and bottom boundary 212L_B defined by the low-k dielectric layer 208 and exposes a portion of the upper boundary of the conductive feature 204, respectively. In some embodiments, the sidewalls 212L_S of the lower via-hole section 212L extends from the bottom boundary 212U_B of the upper trench section 212U along a direction (e.g., a substantially vertical direction) toward the bottom layer 202. As such, in some embodiments, after the formation of the recessed cavity 212, at least the portion of the upper boundary of the conductive feature 204, abutted by the bottom boundary 212L_B of the lower via-hole section 212L, is exposed.
  • It is noted that the recess cavity 212 is an exemplary dual damascene opening that is patterned in the etch stop layer 206 and the low-k dielectric layer 208 to define a contact region over the bottom layer 202. Although the embodiments of FIG. 2D (and the following figures) illustrate dual damascene opening in the low-k dielectric layer 208 (typically referred to as an inter-metal dielectric (IMD) layer), the use of single damascene opening in the IMD layer also provide values. In dual damascene techniques including a “via-first” patterning method or a “trench-first” patterning method, the upper trench section 212U and the lower via-hole section 212L may be formed using a typical lithographic with masking technologies and anisotropic etch operation (e.g. plasma etching or reactive ion etching). In alternative embodiments, a bottom etch stop layer (not shown), a middle etch stop layer (not shown), a polish stop layer (not shown), or an anti-reflective coating (ARC) layer (not shown) is deposited on or intermediately in the low-k dielectric layer 208, providing a clear indicator of when to end a particular etching process.
  • Corresponding to operation 110 of FIG. 1, FIG. 2E is a cross-sectional view of the semiconductor device 200 including an isolation dielectric layer 214 at one of the various stages of fabrication, in accordance with some embodiments. As shown, the isolation dielectric layer 214 is formed to overlay the low-k dielectric layer 208 and the recessed cavity 212 formed therein. More specifically, the isolation dielectric layer 214 overlays an upper boundary of the low-k dielectric layer 208; lines the sidewalls 212U_S and bottom boundary 212U_B of the upper trench section 212U; and lines the sidewalls 212L_S and bottom boundary 212L_B of the lower via-hole section 212L.
  • In some embodiments, the isolation dielectric layer 214 is formed of a non-conductive material selected from the group consisting of: silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, and a combination thereof. In some embodiments, the isolation dielectric layer 214, which has a thickness of about 50 angstroms to about 300 angstroms, is deposited through any of a variety of techniques, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), remote plasma enhanced chemical vapor deposition (RPECVD), liquid source misted chemical deposition (LSMCD), coating, spin- coating or another process that is adapted to form a thin film layer lining the above-described boundaries/sidewalls.
  • Corresponding to operation 112 of FIG. 1, FIG. 2F is a cross-sectional view of the semiconductor device 200 in which a portion of the isolation dielectric layer 214 is removed at one of the various stages of fabrication, in accordance with some embodiments. As shown, the portion of the isolation dielectric layer 214 that overlays the conductive feature 204 is removed such that the portion of the upper boundary of the conductive feature 204 is re-exposed. In some embodiments, an anisotropic etching process (e.g., a dry etching process) may be performed to re-expose the portion of the upper boundary of the conductive feature 204.
  • Corresponding to operation 114 of FIG. 1, FIG. 2G is a cross-sectional view of the semiconductor device 200 including a metal layer 216 at one of the various stages of fabrication, in accordance with some embodiments. As shown, the metal layer 216 is formed to overlay the isolation dielectric layer 214 and the re-exposed conductive feature 204 such that the lower via-hole section 212L and the upper trench section 212U of the recessed cavity 212 are respectively refilled by such a metal layer 216. In some embodiments, the metal layer 216 may be formed of a metal material (e.g., copper (Cu), aluminum (Al), tungsten (W), etc.). In some embodiments, the metal layer 216 is deposited through any of a variety of techniques, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), E-gun, and/or other suitable techniques to overlay the isolation dielectric layer 214 and the re-exposed conductive feature 204 with at least one of the above-mentioned metal materials.
  • Corresponding to operation 116 of FIG. 1, FIG. 2H is a cross-sectional view of the semiconductor device 200 including a conductive structure 218 at one of the various stages of fabrication, in accordance with some embodiments. In some embodiments, the conductive structure 218 is formed after the portion of metal layer 216 (FIG. 2G) over the low-k dielectric layer 205 is removed. According to some embodiments, the conductive structure 218 may include two sections: an upper section 218U filling the upper trench section 212U (FIG. 2F) and a lower section 218L filling the lower via-hole section 212L (FIG. 2F).
  • More specifically, in some embodiments, the upper section 218U has sidewalls 218U_S and bottom boundary 218U_B both coupling the low-k dielectric layer 208 with respective portions (e.g., 214-1 and 214-2) of the isolation dielectric layer 214 disposed therebetween. And the lower section 218L has sidewalls 218L_S coupling the low-k dielectric layer 208 with respective portions (e.g., 214-3) of the isolation dielectric layer 214 disposed therebetween, and a bottom boundary 218L_B abutting the portion of the upper boundary of the conductive feature 204. In other words, the sidewalls 218U_S of the upper section 218U are each isolated from the low-k dielectric layer 208 by the portion 214-1 of the isolation dielectric layer 214 lining the sidewall 218U_S; the bottom boundary 218U_B of the upper section 218U is isolated from the low-k dielectric layer 208 by the portion 214-2 of the isolation dielectric layer 214 lining the bottom boundary 218U_B; and the sidewalls 218L_S of the lower section 218L are each isolated from the low-k dielectric layer 208 by the portion 214-3 of the isolation dielectric layer 214 lining the sidewall 218L_S. Further, in some embodiments, the sidewalls 218L_S of the lower section 218L extends from the bottom boundary 218U_B of the upper section 218U along a direction (e.g., a substantially vertical direction) toward the bottom layer 202.
  • In some embodiments, the removing process includes a chemical mechanical polishing (CMP) process performed to remove the excess portions of the metal layer 216 and the isolation dielectric layer 214 outside the recessed cavity 212 (FIG. 2G) (i.e., the portion of metal layer 216 extending beyond the sidewalls 212U_S), thereby exposing the upper boundary of the low-k dielectric layer 208 and achieving a planarized surface.
  • As identified above, when forming a plurality of conductive structures in a low-k dielectric layer, the trade-off between the porosity and dielectric constant of the low-k dielectric layer typically arises. However, with the disclosed isolation dielectric layer at least partially lining each of the conductive structures, the disclosed isolation dielectric layer can further isolate the conductive structures from any adjacent conductive structure(s). In other words, the low-k dielectric layer can suffer less stress from the conductive structures. Accordingly, the dielectric constant of the low-k dielectric layer can be optimally minimized (to improve the RC delay) without concerning about the porosity issue of the low-k dielectric layer.
  • FIG. 3 illustrates a semiconductor device 300, made by most of the operations of the method 100 of FIG. 1, that is substantially similar to the semiconductor device 200, according to some embodiments of the present disclosure. For example, the semiconductor device 300 also includes a substrate 302, a conductive feature 304, an etch stop layer 306, a low-k dielectric layer 308, an isolation dielectric layer 314, and a conductive structure 318, which are substantially similar to the bottom layer 202, the conductive feature 204, the etch stop layer 206, the low-k dielectric layer 208, the isolation dielectric layer 214, and the conductive structure 318, respectively. It is, however, noted that in the illustrated embodiment of FIG. 3 the conductive structure 318 does not have a lower section (e.g., 218L of FIG. 2H).
  • Accordingly, the conductive structure 318 has sidewalls 318_S and bottom boundary 318_B both coupling the low-k dielectric layer 308 with respective portions (e.g., 314-1 and 314-2) of the isolation dielectric layer 314 disposed therebetween. In other words, the sidewalls 318_S of the conductive structure 318 are each isolated from the low-k dielectric layer 308 by the portion 314-1 of the isolation dielectric layer 314 lining the sidewall 318_S; and the bottom boundary 318_B of the conductive structure 318 is isolated from the low-k dielectric layer 308 by the portion 314-2 of the isolation dielectric layer 314 lining the bottom boundary 318_B.
  • In some embodiments, such a conductive structure 318 can still be made by the operations of the method 100 of FIG. 1 except that in operation 108, the recessed cavity may be formed to have only the trench section, which may be a single damascene technique or part of the dual damascene technique, and operation 112 may become optional.
  • The foregoing outlines features of several embodiments so that those ordinary skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
  • In an embodiment, a semiconductor device includes: a first conductive structure that comprises a first portion having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and an isolation layer comprising a first portion and a second portion, wherein the first portion of the isolation layer lines the sidewalls of the first portion of the first conductive structure, and the second portion of the isolation layer lines at least a portion of the bottom surface of the first portion of the first conductive structure.
  • In another embodiment, a semiconductor device includes: a first conductive structure having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and an isolation layer comprising a first portion and a second portion, wherein the first portion of the isolation layer lines sidewalls of the first conductive structure, and the second portion of the isolation layer lines the bottom surface of the first conductive structure.
  • In yet another embodiment, a semiconductor device includes: a first conductive structure that comprises a first portion having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and a first isolation layer comprising a first portion and a second portion, wherein the first portion of the first isolation layer lines sidewalls of the first portion of the first conductive structure, and the second portion of the first isolation layer, extending from the first portion, lines a first portion of the bottom surface of the first portion of the first conductive structure.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a first conductive structure including a first portion having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and
an isolation layer comprising a first portion and a second portion,
wherein the first portion of the isolation layer lines the sidewalls of the first portion of the first conductive structure, and the second portion of the isolation layer lines at least a portion of the bottom surface of the first portion of the first conductive structure.
2. The semiconductor device of claim 1, wherein the isolation layer is configured to electrically isolate the first conductive structure from the first dielectric layer.
3. The semiconductor device of claim 1, wherein the isolation layer comprises a non-conductive material.
4. The semiconductor device of claim 1, wherein the first conductive structure further comprises:
a second portion having sidewalls and a bottom surface, wherein the second portion of the first conductive structure extends from the bottom surface of the first portion of the first conductive structure.
5. The semiconductor device of claim 4, wherein the isolation layer comprises a third portion extending from the second portion of the isolation layer, the third portion of the isolation layer lining the sidewalls of the second portion of the first conductive structure.
6. The semiconductor device of claim 4, wherein the second portion of the first conductive structure is coupled to the first portion of the first conductive structure through a portion of the bottom surface of the first portion of the first conductive structure that is not lined by the isolation layer.
7. The semiconductor device of claim 4, further comprising:
a second conductive structure embedded in a second dielectric layer disposed below the first dielectric layer,
wherein the second conductive structure is coupled to the first portion of the first conductive structure through the second portion of the first conductive structure.
8. The semiconductor device of claim 1, further comprising:
a second conductive structure embedded in a second dielectric layer disposed below the first dielectric layer,
wherein the second conductive structure is isolated from the first portion of the first conductive structure by at least the isolation layer.
9. A semiconductor device, comprising:
a first conductive structure having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and
an isolation layer comprising a first portion and a second portion,
wherein the first portion of the isolation layer lines sidewalls of the first conductive structure, and the second portion of the isolation layer lines the bottom surface of the first conductive structure.
10. The semiconductor device of claim 9, wherein the isolation layer is configured to electrically isolate the first conductive structure from the first dielectric layer.
11. The semiconductor device of claim 9, wherein the isolation layer comprises a non-conductive material.
12. The semiconductor device of claim 9, further comprising:
a second conductive structure embedded in a second dielectric layer disposed below the first dielectric layer,
wherein the second conductive structure is isolated from the first conductive structure by at least the isolation layer.
13. A semiconductor device, comprising:
a first conductive structure that comprises a first portion having sidewalls and a bottom surface, wherein the first conductive structure is embedded in a first dielectric layer; and
a first isolation layer comprising a first portion and a second portion,
wherein the first portion of the first isolation layer lines sidewalls of the first portion of the first conductive structure, and the second portion of the first isolation layer, extending from the first portion, lines a first portion of the bottom surface of the first portion of the first conductive structure.
14. The semiconductor device of claim 13, wherein the first isolation layer is configured to electrically isolate the first conductive structure from the first dielectric layer.
15. The semiconductor device of claim 13, wherein the first isolation layer is formed of a non-conductive material.
16. The semiconductor device of claim 13, wherein the first conductive structure further comprises:
a second portion having sidewalls and a bottom surface, wherein the second portion of the first conductive structure extends from the bottom surface of the first portion of the first conductive structure.
17. The semiconductor device of claim 16, wherein the first isolation layer comprises a third portion extending from the second portion.
18. The semiconductor device of claim 17, wherein the third portion lines the sidewalls of the second portion of the first conductive structure.
19. The semiconductor device of claim 16, further comprising:
a second conductive structure embedded in a second dielectric layer disposed below the first dielectric layer,
wherein the second conductive structure is coupled to the first portion of the first conductive structure through the second portion of the first conductive structure.
20. The semiconductor device of claim 19, wherein sidewalls and a bottom surface of the second conductive structure is lined by a second isolation layer that is substantially similar to the first isolation layer.
US16/045,546 2017-08-15 2018-07-25 Interconnection structure lined by isolation layer Abandoned US20190109090A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US16/045,546 US20190109090A1 (en) 2017-08-15 2018-07-25 Interconnection structure lined by isolation layer
TW107128346A TWI726231B (en) 2017-08-15 2018-08-14 Semiconductor device
CN201810921906.5A CN109411358B (en) 2017-08-15 2018-08-14 Isolation layer lined interconnect structure and semiconductor device
US17/569,831 US20220139833A1 (en) 2017-08-15 2022-01-06 Interconnection structure lined by isolation layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762545681P 2017-08-15 2017-08-15
US16/045,546 US20190109090A1 (en) 2017-08-15 2018-07-25 Interconnection structure lined by isolation layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/569,831 Division US20220139833A1 (en) 2017-08-15 2022-01-06 Interconnection structure lined by isolation layer

Publications (1)

Publication Number Publication Date
US20190109090A1 true US20190109090A1 (en) 2019-04-11

Family

ID=65994028

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/045,546 Abandoned US20190109090A1 (en) 2017-08-15 2018-07-25 Interconnection structure lined by isolation layer
US17/569,831 Pending US20220139833A1 (en) 2017-08-15 2022-01-06 Interconnection structure lined by isolation layer

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/569,831 Pending US20220139833A1 (en) 2017-08-15 2022-01-06 Interconnection structure lined by isolation layer

Country Status (2)

Country Link
US (2) US20190109090A1 (en)
TW (1) TWI726231B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11315903B2 (en) * 2020-03-05 2022-04-26 Nanya Technology Corporation Semiconductor device with connecting structure and method for fabricating the same
US11107775B1 (en) * 2020-03-31 2021-08-31 Nanya Technology Corporation Semiconductor device with electrically floating contacts between signal-transmitting contacts

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6455417B1 (en) * 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
US20020140101A1 (en) * 2001-03-27 2002-10-03 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
US20030134521A1 (en) * 2002-01-11 2003-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene method employing multi-layer etch stop layer
US6875694B1 (en) * 2004-02-10 2005-04-05 Advanced Micro Devices, Inc. Method of treating inlaid copper for improved capping layer adhesion without damaging porous low-k materials
US20060166485A1 (en) * 1999-06-30 2006-07-27 Lam Research Corporation, And Novellus Systems, Inc. Methods for making dual-damascene dielectric structures
US20060170106A1 (en) * 2005-01-31 2006-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene with via liner
US20070117371A1 (en) * 2005-11-23 2007-05-24 Texas Instruments Incorporated Integration of pore sealing liner into dual-damascene methods and devices
US20090194845A1 (en) * 2008-01-31 2009-08-06 Thomas Werner Semiconductor device comprising a capacitor in the metallization system and a method of forming the capacitor

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2934353B2 (en) * 1992-06-24 1999-08-16 三菱電機株式会社 Semiconductor device and manufacturing method thereof
KR0138305B1 (en) * 1994-11-30 1998-06-01 김광호 Forming method of wiring in semiconductor device
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
JP3700460B2 (en) * 1999-04-05 2005-09-28 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof
KR20010019643A (en) * 1999-08-28 2001-03-15 윤종용 Method for manufacturing multilevel metal interconnections having low dielectric constant insulator
US20020024139A1 (en) * 2000-02-04 2002-02-28 Chan Simon S. Combined capping layer and ARC for CU interconnects
US6803314B2 (en) * 2001-04-30 2004-10-12 Chartered Semiconductor Manufacturing Ltd. Double-layered low dielectric constant dielectric dual damascene method
JP2005064226A (en) * 2003-08-12 2005-03-10 Renesas Technology Corp Wiring structure
US7135402B2 (en) * 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US8017522B2 (en) * 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US9142452B2 (en) * 2013-07-22 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal scheme

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166485A1 (en) * 1999-06-30 2006-07-27 Lam Research Corporation, And Novellus Systems, Inc. Methods for making dual-damascene dielectric structures
US20020140101A1 (en) * 2001-03-27 2002-10-03 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
US6455417B1 (en) * 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
US20030134521A1 (en) * 2002-01-11 2003-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene method employing multi-layer etch stop layer
US6734116B2 (en) * 2002-01-11 2004-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene method employing multi-layer etch stop layer
US6875694B1 (en) * 2004-02-10 2005-04-05 Advanced Micro Devices, Inc. Method of treating inlaid copper for improved capping layer adhesion without damaging porous low-k materials
US20060170106A1 (en) * 2005-01-31 2006-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene with via liner
US20070117371A1 (en) * 2005-11-23 2007-05-24 Texas Instruments Incorporated Integration of pore sealing liner into dual-damascene methods and devices
US20090194845A1 (en) * 2008-01-31 2009-08-06 Thomas Werner Semiconductor device comprising a capacitor in the metallization system and a method of forming the capacitor

Also Published As

Publication number Publication date
TW201911470A (en) 2019-03-16
TWI726231B (en) 2021-05-01
US20220139833A1 (en) 2022-05-05

Similar Documents

Publication Publication Date Title
US10847418B2 (en) Formation method of damascene structure
US10867921B2 (en) Semiconductor structure with tapered conductor
US20190287848A1 (en) Interconnect Structure Having an Etch Stop Layer Over Conductive Lines
US7348280B2 (en) Method for fabricating and BEOL interconnect structures with simultaneous formation of high-k and low-k dielectric regions
US9793212B2 (en) Interconnect structures and methods of forming same
US7544602B2 (en) Method and structure for ultra narrow crack stop for multilevel semiconductor device
US10049922B2 (en) Method of forming trenches
US20220139833A1 (en) Interconnection structure lined by isolation layer
US11183454B2 (en) Functional component within interconnect structure of semiconductor device and method of forming same
US8728936B1 (en) Copper etching integration scheme
US9831171B2 (en) Capacitors with barrier dielectric layers, and methods of formation thereof
US9076729B2 (en) Method of forming interconnection structure having notches for semiconductor device
US20130270712A1 (en) Through silicon via structure and method of fabricating the same
US20230387000A1 (en) Functional Component Within Interconnect Structure of Semiconductor Device and Method of Forming Same
US11658063B2 (en) Method for preparing semiconductor device structure with air gap
US9257329B2 (en) Methods for fabricating integrated circuits including densifying interlevel dielectric layers
US9418886B1 (en) Method of forming conductive features
CN109411358B (en) Isolation layer lined interconnect structure and semiconductor device
US11251128B2 (en) Semiconductor device structure with air gap for reducing capacitive coupling
US20030153176A1 (en) Interconnection structure and interconnection structure formation method

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, HSIN-LIANG;YEH, CHUN-YEN;FANG, YU-HSIN;REEL/FRAME:047868/0481

Effective date: 20180821

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION