JP4428531B2 - 半導体装置の製造方法 - Google Patents
半導体装置の製造方法 Download PDFInfo
- Publication number
- JP4428531B2 JP4428531B2 JP2005117776A JP2005117776A JP4428531B2 JP 4428531 B2 JP4428531 B2 JP 4428531B2 JP 2005117776 A JP2005117776 A JP 2005117776A JP 2005117776 A JP2005117776 A JP 2005117776A JP 4428531 B2 JP4428531 B2 JP 4428531B2
- Authority
- JP
- Japan
- Prior art keywords
- layer
- dielectric layer
- dielectric
- conductive
- interconnect
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/101—Forming openings in dielectrics
- H01L2221/1015—Forming openings in dielectrics for dual damascene structures
- H01L2221/1031—Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/101—Forming openings in dielectrics
- H01L2221/1015—Forming openings in dielectrics for dual damascene structures
- H01L2221/1036—Dual damascene with different via-level and trench-level dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
Description
18はCHF3,CF4,C2F6および/またはその他のようなエッチングガスを使用して発生されるプラズマ環境でパターニングすることができる。このエッチングプロセスは第1の誘電体層12内にコンタクト開口14を規定しこれは金属導電体層がその後図1のコンタクト開口内に被着され導電性コンタクト部分14を形成できるようにすることに注目すべきである。この引き続き被着される導電体層はポリシリコン層または半導体基板内の他の金属層またはドーピングされた半導体領域のような下に横たわる導電性領域への電気的コンタクト/相互接続を形成するために使用される。前記ドーピングされた領域はバイポーラ電極、ウエルコンタクト、ソース/ドレイン、薄膜トランジスタ(TFT)ノードあるいは同様のドーピングされたポリシリコンまたは基板部分とすることができる。ポリシリコンはアモルファスシリコン、エピタキシャル成長シリコン、あるいは高融点シリサイド化シリコン含有層(refractory silicided silicon−containing layers)と置き換えることができる。
である。窒化チタン、タングステンチタン、チタン、タンタル、窒化タンタル、窒化シリコンタンタル、窒化シリコンチタン、窒化タングステンまたは他の同様の材料からなる任意の層または複合層を部分14および20,20’のバリア層として使用できる。さらに、銅、金、銀、タングステン、アルミニウム、その任意の複合体、その他をバリア層(単数または複数)の上に相互接続部21を形成するためにより厚い充填材料(thicker fill materials)として使用できることが理解されるべきである。さらに、導電性相互接続部21は伝統的な化学蒸着(CVD)技術、電極めっき技術、スパッタリング技術、および/または選択的被着技術を使用して形成することができる。
rier layer)が必要であろう。
は、誘電体層40は酸素を含むプラズマを使用してパターニングされ、かつ誘電体層40内の開口を画定するために使用されるフォトレジストマスク44は誘電体層40がエッチングされると同時に除去される。従って、この実施形態では、誘電体層40をパターニングするために使用されるエッチング処理はまた同時に誘電体層40内に開口を画定するために使用されるフォトレジストマスク44のいくらかまたはすべてを除去する。
つが距離Xだけ離されている。非共形の誘電体層66が次に複数の導電性相互接続ライン64の上に横たわって形成され、 この場合前記複数の導電性相互接続ライン64内の導
電性相互接続ラインの内の少なくとも2つの間に密閉されたボイド領域( sealed void region) 68が形成される。密閉されたボイド領域68は2つの隣接する導電性相互接続ライン64を分離する第1の距離Xの少なくとも60%にわたっている。非共形の誘電体層66は密閉されたボイド領域68が第1の距離Xの70%、80%または90%に及ぶように被着できることが理解されるべきである。好ましい実施形態では
、 非共形の誘電体層66はプラズマ増強化学蒸着( CVD) においてソースガスとして
シランを使用して被着される。あるいは、非共形の被着を増強する他のソースガスも使用できる。第3の誘電体層70が次に非共形の誘電体層66の上に横たわって形成されかつ伝統的な技術を使用して平坦化される。1実施形態では、 第3の誘電体層70は伝統的
な化学機械研磨( CMP) 技術を使用して平坦化される。あるいは、第3の誘電体層70はまた伝統的なプラズマエッチング技術を使用して平坦化することができる。図16に示されているように、結果として得られる相互接続構造は通常改善されたアイソレーションが必要とされる近接して隣接する誘電性相互接続ラインの間にボイド領域を有する。これらのボイド領域は好ましい形式ではほぼ1.0の誘電率を有し、 かつ従って近接した間
隔の隣接する導電性相互接続ライン64の間のRC時間遅延またはクロストークを低減する。
Claims (2)
- ある間隔をもって分離された複数の導電部材(54)を形成する工程と、
第1誘電層によって架橋された少なくとも1つの空隙(60)を、前記分離された複数の導電部材の上方に第1誘電層(58)をスピンオンプロセスで形成することによって形成する工程とからなり、前記少なくとも1つの空隙は1.0の比誘電率を有するとともに前記分離された複数の導電部材のうち少なくとも2つの分離された導電部材の間のアイソレーションを改善し、前記第1誘導層はポリフェニルキノキサリンから選択される、半導体装置の製造方法。 - 前記複数の導電部材(54)が分離される間隔は、前記第1誘電層を形成する樹脂のポリマーバックボーンの堅さの関数として決定される、請求項1に記載の半導体装置の製造方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/727,159 US5880018A (en) | 1996-10-07 | 1996-10-07 | Method for manufacturing a low dielectric constant inter-level integrated circuit structure |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP28915297A Division JP3694394B2 (ja) | 1996-10-07 | 1997-10-06 | 半導体装置を形成する方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2005260260A JP2005260260A (ja) | 2005-09-22 |
JP4428531B2 true JP4428531B2 (ja) | 2010-03-10 |
Family
ID=24921561
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP28915297A Expired - Fee Related JP3694394B2 (ja) | 1996-10-07 | 1997-10-06 | 半導体装置を形成する方法 |
JP2005117776A Expired - Fee Related JP4428531B2 (ja) | 1996-10-07 | 2005-04-15 | 半導体装置の製造方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP28915297A Expired - Fee Related JP3694394B2 (ja) | 1996-10-07 | 1997-10-06 | 半導体装置を形成する方法 |
Country Status (9)
Country | Link |
---|---|
US (1) | US5880018A (ja) |
EP (1) | EP0834916A3 (ja) |
JP (2) | JP3694394B2 (ja) |
KR (1) | KR100372467B1 (ja) |
CN (1) | CN1167107C (ja) |
MX (1) | MX9707616A (ja) |
MY (1) | MY126325A (ja) |
SG (2) | SG85688A1 (ja) |
TW (1) | TW368722B (ja) |
Families Citing this family (169)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6699530B2 (en) * | 1995-07-06 | 2004-03-02 | Applied Materials, Inc. | Method for constructing a film on a semiconductor wafer |
GB2340306B (en) * | 1995-08-10 | 2000-06-07 | Nec Corp | Semiconductor integrated circuit device |
US6294799B1 (en) * | 1995-11-27 | 2001-09-25 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method of fabricating same |
KR100230392B1 (ko) | 1996-12-05 | 1999-11-15 | 윤종용 | 반도체 소자의 콘택 플러그 형성방법 |
US6405431B1 (en) | 1996-06-27 | 2002-06-18 | Samsung Electro-Mechanics Co., Ltd. | Method for manufacturing build-up multi-layer printed circuit board by using yag laser |
US6429120B1 (en) | 2000-01-18 | 2002-08-06 | Micron Technology, Inc. | Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals |
US6127262A (en) * | 1996-06-28 | 2000-10-03 | Applied Materials, Inc. | Method and apparatus for depositing an etch stop layer |
US6156149A (en) * | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US6069069A (en) * | 1996-12-16 | 2000-05-30 | Chartered Semiconductor Manufacturing, Ltd. | Method for planarizing a low dielectric constant spin-on polymer using nitride etch stop |
JPH10312975A (ja) * | 1997-05-14 | 1998-11-24 | Toshiba Corp | 半導体装置及びその製造方法 |
US6054377A (en) * | 1997-05-19 | 2000-04-25 | Motorola, Inc. | Method for forming an inlaid via in a semiconductor device |
US6143646A (en) * | 1997-06-03 | 2000-11-07 | Motorola Inc. | Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation |
JP3390329B2 (ja) | 1997-06-27 | 2003-03-24 | 日本電気株式会社 | 半導体装置およびその製造方法 |
GB2350931B (en) * | 1997-06-27 | 2001-03-14 | Nec Corp | Method of manufacturing semiconductor device having multilayer wiring |
JP3463979B2 (ja) * | 1997-07-08 | 2003-11-05 | 富士通株式会社 | 半導体装置の製造方法 |
US6492732B2 (en) * | 1997-07-28 | 2002-12-10 | United Microelectronics Corp. | Interconnect structure with air gap compatible with unlanded vias |
JP3599972B2 (ja) | 1997-09-30 | 2004-12-08 | 三洋電機株式会社 | 薄膜トランジスタの製造方法 |
JPH11111991A (ja) | 1997-09-30 | 1999-04-23 | Sanyo Electric Co Ltd | 薄膜トランジスタ及び薄膜トランジスタの製造方法 |
JPH11111994A (ja) * | 1997-10-03 | 1999-04-23 | Sanyo Electric Co Ltd | 薄膜トランジスタ及び薄膜トランジスタの製造方法 |
US6066578A (en) * | 1997-12-01 | 2000-05-23 | Advanced Micro Devices, Inc. | Method and system for providing inorganic vapor surface treatment for photoresist adhesion promotion |
TWI246633B (en) | 1997-12-12 | 2006-01-01 | Applied Materials Inc | Method of pattern etching a low k dielectric layen |
US6143476A (en) * | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
US6090694A (en) * | 1997-12-16 | 2000-07-18 | Advanced Micro Devices, Inc. | Local interconnect patterning and contact formation |
US6465339B2 (en) * | 1997-12-19 | 2002-10-15 | Texas Instruments Incorporated | Technique for intralevel capacitive isolation of interconnect paths |
US6297125B1 (en) * | 1998-01-23 | 2001-10-02 | Texas Instruments Incorporated | Air-bridge integration scheme for reducing interconnect delay |
TW350099B (en) * | 1998-01-26 | 1999-01-11 | United Microelectronics Corp | IC microfilm process |
TW410455B (en) * | 1998-02-16 | 2000-11-01 | United Microelectronics Corp | Forming method for dual damascene structure |
JP3305251B2 (ja) * | 1998-02-26 | 2002-07-22 | 松下電器産業株式会社 | 配線構造体の形成方法 |
US6162583A (en) * | 1998-03-20 | 2000-12-19 | Industrial Technology Research Institute | Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers |
KR20010042419A (ko) | 1998-04-02 | 2001-05-25 | 조셉 제이. 스위니 | 낮은 k 유전체를 에칭하는 방법 |
FR2777697B1 (fr) * | 1998-04-16 | 2000-06-09 | St Microelectronics Sa | Circuit integre avec couche d'arret et procede de fabrication associe |
KR100635685B1 (ko) * | 1998-05-25 | 2006-10-17 | 가부시키가이샤 히타치세이사쿠쇼 | 반도체장치 및 그 제조방법 |
US6232235B1 (en) * | 1998-06-03 | 2001-05-15 | Motorola, Inc. | Method of forming a semiconductor device |
US6124201A (en) * | 1998-06-12 | 2000-09-26 | Advanced Micro Devices, Inc. | Method for manufacturing semiconductors with self-aligning vias |
US6130126A (en) * | 1998-06-26 | 2000-10-10 | Texas Instruments Incorporated | Self-planarizing DRAM chip avoids edge flaking |
KR100333724B1 (ko) | 1998-06-30 | 2002-09-17 | 주식회사 하이닉스반도체 | 티타늄알루미늄나이트라이드반사방지막을이용한반도체소자의금속배선형성방법 |
US6319813B1 (en) | 1998-07-06 | 2001-11-20 | Micron Technology, Inc. | Semiconductor processing methods of forming integrated circuitry and integrated circuitry constructions |
US6043146A (en) * | 1998-07-27 | 2000-03-28 | Motorola, Inc. | Process for forming a semiconductor device |
JP4386978B2 (ja) * | 1998-08-07 | 2009-12-16 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
TW437040B (en) * | 1998-08-12 | 2001-05-28 | Applied Materials Inc | Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics |
US6246070B1 (en) * | 1998-08-21 | 2001-06-12 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same |
US6127089A (en) * | 1998-08-28 | 2000-10-03 | Advanced Micro Devices, Inc. | Interconnect structure with low k dielectric materials and method of making the same with single and dual damascene techniques |
US6194128B1 (en) * | 1998-09-17 | 2001-02-27 | Taiwan Semiconductor Manufacturing Company | Method of dual damascene etching |
TW401618B (en) * | 1998-09-23 | 2000-08-11 | Nat Science Council | Manufacture method of the dielectrics and the structure thereof |
US6239026B1 (en) * | 1998-09-28 | 2001-05-29 | Conexant Systems, Inc. | Nitride etch stop for poisoned unlanded vias |
US6107204A (en) * | 1998-10-02 | 2000-08-22 | Advanced Micro Devices, Inc. | Method to manufacture multiple damascene by utilizing etch selectivity |
US6277747B1 (en) * | 1998-11-09 | 2001-08-21 | Sony Corporation | Method for removal of etch residue immediately after etching a SOG layer |
US6352918B1 (en) * | 1998-11-24 | 2002-03-05 | United Microelectronics Corp. | Method of forming inter-metal interconnection |
US6171963B1 (en) * | 1998-11-30 | 2001-01-09 | Worldwide Semiconductor Manufacturing Corporation | Method for forming a planar intermetal dielectric using a barrier layer |
US6596637B1 (en) * | 1998-12-07 | 2003-07-22 | Advanced Micro Devices, Inc. | Chemically preventing Cu dendrite formation and growth by immersion |
US6326231B1 (en) * | 1998-12-08 | 2001-12-04 | Advanced Micro Devices, Inc. | Use of silicon oxynitride ARC for metal layers |
US6288449B1 (en) | 1998-12-22 | 2001-09-11 | Agere Systems Guardian Corp. | Barrier for copper metallization |
US6143670A (en) * | 1998-12-28 | 2000-11-07 | Taiwan Semiconductor Manufacturing Company | Method to improve adhesion between low dielectric constant layer and silicon containing dielectric layer |
US6291339B1 (en) * | 1999-01-04 | 2001-09-18 | Advanced Micro Devices, Inc. | Bilayer interlayer dielectric having a substantially uniform composite interlayer dielectric constant over pattern features of varying density and method of making the same |
US6291887B1 (en) * | 1999-01-04 | 2001-09-18 | Advanced Micro Devices, Inc. | Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer |
US6153514A (en) * | 1999-01-04 | 2000-11-28 | Advanced Micro Devices, Inc. | Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer |
US6331481B1 (en) * | 1999-01-04 | 2001-12-18 | International Business Machines Corporation | Damascene etchback for low ε dielectric |
US6232230B1 (en) * | 1999-01-05 | 2001-05-15 | Advanced Micro Devices, Inc. | Semiconductor interconnect interface processing by high temperature deposition |
US6255735B1 (en) | 1999-01-05 | 2001-07-03 | Advanced Micro Devices, Inc. | Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers |
US6207577B1 (en) * | 1999-01-27 | 2001-03-27 | Advanced Micro Devices, Inc. | Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer |
US6380091B1 (en) * | 1999-01-27 | 2002-04-30 | Advanced Micro Devices, Inc. | Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer |
US6150232A (en) * | 1999-02-05 | 2000-11-21 | Chartered Semiconductor Manufacturing Ltd. | Formation of low k dielectric |
US6284645B1 (en) * | 1999-03-19 | 2001-09-04 | United Microelectronics Corp. | Controlling improvement of critical dimension of dual damasceue process using spin-on-glass process |
US6144099A (en) * | 1999-03-30 | 2000-11-07 | Advanced Micro Devices, Inc. | Semiconductor metalization barrier |
US6130151A (en) * | 1999-05-07 | 2000-10-10 | Taiwan Semiconductor Manufacturing Company | Method of manufacturing air gap in multilevel interconnection |
US6146517A (en) * | 1999-05-19 | 2000-11-14 | Infineon Technologies North America Corp. | Integrated circuits with copper metallization for interconnections |
TW410430B (en) * | 1999-05-26 | 2000-11-01 | Taiwan Semiconductor Mfg | Planarization of inter metal dielectric layer |
US6365489B1 (en) * | 1999-06-15 | 2002-04-02 | Micron Technology, Inc. | Creation of subresolution features via flow characteristics |
US6251770B1 (en) * | 1999-06-30 | 2001-06-26 | Lam Research Corp. | Dual-damascene dielectric structures and methods for making the same |
US6436824B1 (en) | 1999-07-02 | 2002-08-20 | Chartered Semiconductor Manufacturing Ltd. | Low dielectric constant materials for copper damascene |
US6191025B1 (en) * | 1999-07-08 | 2001-02-20 | Taiwan Semiconductor Manufacturing Company | Method of fabricating a damascene structure for copper medullization |
US6351013B1 (en) * | 1999-07-13 | 2002-02-26 | Advanced Micro Devices, Inc. | Low-K sub spacer pocket formation for gate capacitance reduction |
TW445572B (en) * | 1999-07-20 | 2001-07-11 | Taiwan Semiconductor Mfg | Inter-metal dielectric forming method in metallization processing |
DE19937994C2 (de) * | 1999-08-11 | 2003-12-11 | Infineon Technologies Ag | Ätzprozeß für eine Dual Damascene Strukturierung einer Isolierschicht auf einer Halbleiterstruktur |
US6365327B1 (en) * | 1999-08-30 | 2002-04-02 | Agere Systems Guardian Corp. | Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit |
US6358862B1 (en) * | 1999-09-02 | 2002-03-19 | Micron Technology, Inc | Passivation integrity improvements |
US6184138B1 (en) * | 1999-09-07 | 2001-02-06 | Chartered Semiconductor Manufacturing Ltd. | Method to create a controllable and reproducible dual copper damascene structure |
US6040243A (en) * | 1999-09-20 | 2000-03-21 | Chartered Semiconductor Manufacturing Ltd. | Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion |
KR100331554B1 (ko) | 1999-09-27 | 2002-04-06 | 윤종용 | 인접된 커패시터 사이의 크로스토크가 억제된 반도체 소자의 커패시터 어레이 및 그 제조방법 |
JP4382926B2 (ja) * | 1999-09-29 | 2009-12-16 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US6611060B1 (en) * | 1999-10-04 | 2003-08-26 | Kabushiki Kaisha Toshiba | Semiconductor device having a damascene type wiring layer |
US7105420B1 (en) | 1999-10-07 | 2006-09-12 | Chartered Semiconductor Manufacturing Ltd. | Method to fabricate horizontal air columns underneath metal inductor |
US6153512A (en) * | 1999-10-12 | 2000-11-28 | Taiwan Semiconductor Manufacturing Company | Process to improve adhesion of HSQ to underlying materials |
US6417106B1 (en) | 1999-11-01 | 2002-07-09 | Taiwan Semiconductor Manufacturing Company | Underlayer liner for copper damascene in low k dielectric |
US6576551B1 (en) * | 1999-12-20 | 2003-06-10 | Taiwan Semiconductor Manufacturing Company | Chemical mechanical polish planarizing method with pressure compensating layer |
US6881674B2 (en) * | 1999-12-28 | 2005-04-19 | Intel Corporation | Abrasives for chemical mechanical polishing |
US6197681B1 (en) * | 1999-12-31 | 2001-03-06 | United Microelectronics Corp. | Forming copper interconnects in dielectric materials with low constant dielectrics |
US7211512B1 (en) * | 2000-01-18 | 2007-05-01 | Micron Technology, Inc. | Selective electroless-plated copper metallization |
US7262130B1 (en) * | 2000-01-18 | 2007-08-28 | Micron Technology, Inc. | Methods for making integrated-circuit wiring from copper, silver, gold, and other metals |
US6420262B1 (en) * | 2000-01-18 | 2002-07-16 | Micron Technology, Inc. | Structures and methods to enhance copper metallization |
US6303486B1 (en) * | 2000-01-28 | 2001-10-16 | Advanced Micro Devices, Inc. | Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer and an unconstrained copper anneal |
US6355555B1 (en) * | 2000-01-28 | 2002-03-12 | Advanced Micro Devices, Inc. | Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer |
US6541400B1 (en) * | 2000-02-09 | 2003-04-01 | Novellus Systems, Inc. | Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer |
JP2001223269A (ja) * | 2000-02-10 | 2001-08-17 | Nec Corp | 半導体装置およびその製造方法 |
JP2003526944A (ja) * | 2000-03-13 | 2003-09-09 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | 半導体装置を製造する方法 |
US6818990B2 (en) * | 2000-04-03 | 2004-11-16 | Rensselaer Polytechnic Institute | Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits |
US6720249B1 (en) | 2000-04-17 | 2004-04-13 | International Business Machines Corporation | Protective hardmask for producing interconnect structures |
US6566258B1 (en) * | 2000-05-10 | 2003-05-20 | Applied Materials, Inc. | Bi-layer etch stop for inter-level via |
US6365509B1 (en) * | 2000-05-31 | 2002-04-02 | Advanced Micro Devices, Inc. | Semiconductor manufacturing method using a dielectric photomask |
US6423629B1 (en) * | 2000-05-31 | 2002-07-23 | Kie Y. Ahn | Multilevel copper interconnects with low-k dielectrics and air gaps |
JP2002064137A (ja) * | 2000-08-15 | 2002-02-28 | Tokyo Electron Ltd | 半導体装置およびその製造方法 |
CA2421799A1 (en) * | 2000-09-18 | 2002-03-21 | Acm Research, Inc. | Integrating metal with ultra low-k dielectrics |
US6605551B2 (en) * | 2000-12-08 | 2003-08-12 | Intel Corporation | Electrocoating process to form a dielectric layer in an organic substrate to reduce loop inductance |
US6309955B1 (en) * | 2001-02-16 | 2001-10-30 | Advanced Micro Devices, Inc. | Method for using a CVD organic barc as a hard mask during via etch |
US6355563B1 (en) * | 2001-03-05 | 2002-03-12 | Chartered Semiconductor Manufacturing Ltd. | Versatile copper-wiring layout design with low-k dielectric integration |
US6777171B2 (en) * | 2001-04-20 | 2004-08-17 | Applied Materials, Inc. | Fluorine-containing layers for damascene structures |
US6410461B1 (en) * | 2001-05-07 | 2002-06-25 | Advanced Micro Devices, Inc. | Method of depositing sion with reduced defects |
US6518641B2 (en) | 2001-05-18 | 2003-02-11 | International Business Machines Corporation | Deep slit isolation with controlled void |
US6583043B2 (en) | 2001-07-27 | 2003-06-24 | Motorola, Inc. | Dielectric between metal structures and method therefor |
US6440840B1 (en) | 2002-01-25 | 2002-08-27 | Taiwan Semiconductor Manufactoring Company | Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits |
DE60325555D1 (de) * | 2002-02-12 | 2009-02-12 | Oc Oerlikon Balzers Ag | Optisches bauteil mit submikrometer-hohlräumen |
JP2003324201A (ja) * | 2002-02-26 | 2003-11-14 | Hitachi Ltd | 薄膜トランジスタ及びそれを用いた表示装置 |
TW200304227A (en) * | 2002-03-11 | 2003-09-16 | Sanyo Electric Co | Top gate type thin film transistor |
US7247544B1 (en) * | 2002-04-12 | 2007-07-24 | National Semiconductor Corporation | High Q inductor integration |
US6780753B2 (en) | 2002-05-31 | 2004-08-24 | Applied Materials Inc. | Airgap for semiconductor devices |
US7060193B2 (en) * | 2002-07-05 | 2006-06-13 | Chartered Semiconductor Manufacturing Ltd. | Method to form both high and low-k materials over the same dielectric region, and their application in mixed mode circuits |
US6995392B2 (en) * | 2002-08-07 | 2006-02-07 | International Business Machines Corporation | Test structure for locating electromigration voids in dual damascene interconnects |
US7449407B2 (en) * | 2002-11-15 | 2008-11-11 | United Microelectronics Corporation | Air gap for dual damascene applications |
US6917109B2 (en) * | 2002-11-15 | 2005-07-12 | United Micorelectronics, Corp. | Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device |
US7138329B2 (en) * | 2002-11-15 | 2006-11-21 | United Microelectronics Corporation | Air gap for tungsten/aluminum plug applications |
US6963138B2 (en) * | 2003-02-03 | 2005-11-08 | Lsi Logic Corporation | Dielectric stack |
US7579251B2 (en) * | 2003-05-15 | 2009-08-25 | Fujitsu Limited | Aerosol deposition process |
WO2004105123A1 (ja) * | 2003-05-21 | 2004-12-02 | Fujitsu Limited | 半導体装置 |
US20040248400A1 (en) * | 2003-06-09 | 2004-12-09 | Kim Sun-Oo | Composite low-k dielectric structure |
US6972255B2 (en) * | 2003-07-28 | 2005-12-06 | Freescale Semiconductor, Inc. | Semiconductor device having an organic anti-reflective coating (ARC) and method therefor |
US6838355B1 (en) * | 2003-08-04 | 2005-01-04 | International Business Machines Corporation | Damascene interconnect structures including etchback for low-k dielectric materials |
JP2005064226A (ja) * | 2003-08-12 | 2005-03-10 | Renesas Technology Corp | 配線構造 |
US7012240B2 (en) * | 2003-08-21 | 2006-03-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Image sensor with guard rings and method for forming the same |
US6878624B1 (en) * | 2003-09-30 | 2005-04-12 | International Business Machines Corporation | Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi |
US7125790B2 (en) * | 2003-10-20 | 2006-10-24 | Infineon Technologies Ag | Inclusion of low-k dielectric material between bit lines |
JP2005217162A (ja) * | 2004-01-29 | 2005-08-11 | Semiconductor Leading Edge Technologies Inc | 半導体装置及びその製造方法 |
US7294791B2 (en) * | 2004-09-29 | 2007-11-13 | Endicott Interconnect Technologies, Inc. | Circuitized substrate with improved impedance control circuitry, method of making same, electrical assembly and information handling system utilizing same |
US7176130B2 (en) * | 2004-11-12 | 2007-02-13 | Freescale Semiconductor, Inc. | Plasma treatment for surface of semiconductor device |
US20060105578A1 (en) * | 2004-11-12 | 2006-05-18 | Shih-Ping Hong | High-selectivity etching process |
JP4679193B2 (ja) | 2005-03-22 | 2011-04-27 | 株式会社東芝 | 半導体装置の製造方法及び半導体装置 |
US7829262B2 (en) * | 2005-08-31 | 2010-11-09 | Micron Technology, Inc. | Method of forming pitch multipled contacts |
KR20070042887A (ko) * | 2005-10-19 | 2007-04-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 피쳐 제한부들을 형성하는 방법 |
EP1806781A1 (en) * | 2006-01-10 | 2007-07-11 | STMicroelectronics (Crolles 2) SAS | Conductive interconnect with a localized overhanging dielectric barrier |
US7649239B2 (en) * | 2006-05-04 | 2010-01-19 | Intel Corporation | Dielectric spacers for metal interconnects and method to form the same |
DE102006056620B4 (de) * | 2006-11-30 | 2010-04-08 | Advanced Micro Devices, Inc., Sunnyvale | Halbleiterstruktur und Verfahren zu ihrer Herstellung |
WO2008066884A1 (en) * | 2006-11-30 | 2008-06-05 | Advanced Micro Devices, Inc. | Semiconductor structure with interconnect comprising silver and method of forming the same |
US7951707B2 (en) * | 2007-03-21 | 2011-05-31 | Macronix International Co., Ltd. | Etching method for semiconductor element |
US7923373B2 (en) | 2007-06-04 | 2011-04-12 | Micron Technology, Inc. | Pitch multiplication using self-assembling materials |
DE102007057686B4 (de) * | 2007-11-30 | 2011-07-28 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 | Verfahren und Halbleiterbauelement mit einer Schutzschicht zum Reduzieren der Verspannungsrelaxation in einer Doppelverspannungsbeschichtungstechnik |
US7772706B2 (en) * | 2007-12-27 | 2010-08-10 | Intel Corporation | Air-gap ILD with unlanded vias |
US8071459B2 (en) | 2008-04-17 | 2011-12-06 | Freescale Semiconductor, Inc. | Method of sealing an air gap in a layer of a semiconductor structure and semiconductor structure |
KR101002124B1 (ko) * | 2008-11-05 | 2010-12-16 | 주식회사 동부하이텍 | 반도체 소자 및 상기 반도체 소자의 제조 방법 |
US7927964B2 (en) * | 2008-11-13 | 2011-04-19 | Micron Technology, Inc. | Methods of forming electrically insulative materials, methods of forming low k dielectric regions, and methods of forming semiconductor constructions |
US7910473B2 (en) * | 2008-12-31 | 2011-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Through-silicon via with air gap |
US8399354B2 (en) * | 2009-01-13 | 2013-03-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Through-silicon via with low-K dielectric liner |
JP5180121B2 (ja) * | 2009-02-20 | 2013-04-10 | 東京エレクトロン株式会社 | 基板処理方法 |
JP5882583B2 (ja) * | 2010-02-04 | 2016-03-09 | 東京応化工業株式会社 | エアギャップ形成用シリカ系被膜形成材料及びエアギャップ形成方法 |
US8530347B2 (en) | 2010-10-05 | 2013-09-10 | Freescale Semiconductor, Inc. | Electronic device including interconnects with a cavity therebetween and a process of forming the same |
JP2012148428A (ja) * | 2011-01-17 | 2012-08-09 | Toshiba Tec Corp | インクジェットヘッドの製造方法 |
JP5755454B2 (ja) * | 2011-01-17 | 2015-07-29 | 東芝テック株式会社 | インクジェットヘッドの製造方法 |
JP2013026347A (ja) | 2011-07-19 | 2013-02-04 | Toshiba Corp | 半導体装置およびその製造方法 |
US9960110B2 (en) | 2011-12-30 | 2018-05-01 | Intel Corporation | Self-enclosed asymmetric interconnect structures |
JP2013197407A (ja) * | 2012-03-21 | 2013-09-30 | Toshiba Corp | 半導体装置 |
US8866297B2 (en) * | 2012-11-30 | 2014-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Air-gap formation in interconnect structures |
US8772938B2 (en) | 2012-12-04 | 2014-07-08 | Intel Corporation | Semiconductor interconnect structures |
US9312220B2 (en) * | 2013-03-12 | 2016-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for a low-K dielectric with pillar-type air-gaps |
KR102092863B1 (ko) * | 2013-12-30 | 2020-03-24 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US9230911B2 (en) | 2013-12-30 | 2016-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method of forming the same |
US9716035B2 (en) * | 2014-06-20 | 2017-07-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Combination interconnect structure and methods of forming same |
US9870995B2 (en) | 2015-06-18 | 2018-01-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation of copper layer structure with self anneal strain improvement |
US9449871B1 (en) | 2015-11-18 | 2016-09-20 | International Business Machines Corporation | Hybrid airgap structure with oxide liner |
US10770562B1 (en) | 2019-03-01 | 2020-09-08 | International Business Machines Corporation | Interlayer dielectric replacement techniques with protection for source/drain contacts |
US11024536B2 (en) | 2019-04-18 | 2021-06-01 | International Business Machines Corporation | Contact interlayer dielectric replacement with improved SAC cap retention |
US11177166B2 (en) * | 2020-04-17 | 2021-11-16 | International Business Machines Corporation | Etch stop layer removal for capacitance reduction in damascene top via integration |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB2186424A (en) * | 1986-01-30 | 1987-08-12 | Plessey Co Plc | Method for producing integrated circuit interconnects |
US4832789A (en) * | 1988-04-08 | 1989-05-23 | American Telephone And Telegrph Company, At&T Bell Laboratories | Semiconductor devices having multi-level metal interconnects |
US4987101A (en) * | 1988-12-16 | 1991-01-22 | International Business Machines Corporation | Method for providing improved insulation in VLSI and ULSI circuits |
US4954214A (en) * | 1989-01-05 | 1990-09-04 | Northern Telecom Limited | Method for making interconnect structures for VLSI devices |
US5310700A (en) * | 1993-03-26 | 1994-05-10 | Integrated Device Technology, Inc. | Conductor capacitance reduction in integrated circuits |
US5470802A (en) * | 1994-05-20 | 1995-11-28 | Texas Instruments Incorporated | Method of making a semiconductor device using a low dielectric constant material |
JP4014234B2 (ja) * | 1994-05-27 | 2007-11-28 | テキサス インスツルメンツ インコーポレイテツド | 半導体デバイス中に線間容量の低減化された相互接続線を作製する方法 |
US5407860A (en) * | 1994-05-27 | 1995-04-18 | Texas Instruments Incorporated | Method of forming air gap dielectric spaces between semiconductor leads |
US5510293A (en) * | 1994-05-31 | 1996-04-23 | Texas Instruments Incorporated | Method of making reliable metal leads in high speed LSI semiconductors using thermoconductive layers |
EP0703611B1 (en) * | 1994-08-31 | 2007-05-02 | Texas Instruments Incorporated | Method for insulating metal leads using a low dielectric constant material, and structures formed therewith |
EP0706215A3 (en) * | 1994-09-15 | 1996-11-20 | Texas Instruments Inc | Semiconductor device improvements and manufacturing |
US5550405A (en) * | 1994-12-21 | 1996-08-27 | Advanced Micro Devices, Incorporated | Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS |
US5559055A (en) * | 1994-12-21 | 1996-09-24 | Advanced Micro Devices, Inc. | Method of decreased interlayer dielectric constant in a multilayer interconnect structure to increase device speed performance |
US5691573A (en) * | 1995-06-07 | 1997-11-25 | Advanced Micro Devices, Inc. | Composite insulation with a dielectric constant of less than 3 in a narrow space separating conductive lines |
US5641712A (en) * | 1995-08-07 | 1997-06-24 | Motorola, Inc. | Method and structure for reducing capacitance between interconnect lines |
US5518959A (en) * | 1995-08-24 | 1996-05-21 | Taiwan Semiconductor Manufacturing Company | Method for selectively depositing silicon oxide spacer layers |
US5677241A (en) * | 1995-12-27 | 1997-10-14 | Micron Technology, Inc. | Integrated circuitry having a pair of adjacent conductive lines and method of forming |
US5773361A (en) * | 1996-11-06 | 1998-06-30 | International Business Machines Corporation | Process of making a microcavity structure and applications thereof |
-
1996
- 1996-10-07 US US08/727,159 patent/US5880018A/en not_active Expired - Lifetime
-
1997
- 1997-09-18 TW TW086113530A patent/TW368722B/zh not_active IP Right Cessation
- 1997-09-20 SG SG9906384A patent/SG85688A1/en unknown
- 1997-09-20 SG SG1997003475A patent/SG71045A1/en unknown
- 1997-09-29 EP EP97116851A patent/EP0834916A3/en not_active Withdrawn
- 1997-09-29 CN CNB97118948XA patent/CN1167107C/zh not_active Expired - Fee Related
- 1997-10-03 MX MX9707616A patent/MX9707616A/es unknown
- 1997-10-06 MY MYPI97004676A patent/MY126325A/en unknown
- 1997-10-06 JP JP28915297A patent/JP3694394B2/ja not_active Expired - Fee Related
- 1997-10-07 KR KR1019970051378A patent/KR100372467B1/ko not_active IP Right Cessation
-
2005
- 2005-04-15 JP JP2005117776A patent/JP4428531B2/ja not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
JPH10116907A (ja) | 1998-05-06 |
EP0834916A3 (en) | 1998-07-29 |
EP0834916A2 (en) | 1998-04-08 |
TW368722B (en) | 1999-09-01 |
KR100372467B1 (ko) | 2003-05-12 |
SG71045A1 (en) | 2000-03-21 |
SG85688A1 (en) | 2002-01-15 |
CN1191384A (zh) | 1998-08-26 |
US5880018A (en) | 1999-03-09 |
MY126325A (en) | 2006-09-29 |
KR19980032600A (ko) | 1998-07-25 |
MX9707616A (es) | 1998-04-30 |
JP2005260260A (ja) | 2005-09-22 |
CN1167107C (zh) | 2004-09-15 |
JP3694394B2 (ja) | 2005-09-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4428531B2 (ja) | 半導体装置の製造方法 | |
US6187672B1 (en) | Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing | |
MXPA97007616A (en) | A method to manufacture an integrated circuit structure inter-levels of low constant dielectr | |
KR100564188B1 (ko) | 반도체집적회로장치및그제조방법 | |
US7348280B2 (en) | Method for fabricating and BEOL interconnect structures with simultaneous formation of high-k and low-k dielectric regions | |
US6127258A (en) | Method for forming a semiconductor device | |
US6774489B2 (en) | Dielectric layer liner for an integrated circuit structure | |
US6380084B1 (en) | Method to form high performance copper damascene interconnects by de-coupling via and metal line filling | |
US6383913B1 (en) | Method for improving surface wettability of low k material | |
US6268283B1 (en) | Method for forming dual damascene structure | |
US20010005625A1 (en) | Interconnect structure with gas dielectric compatible with unlanded vias | |
US20040251549A1 (en) | Hybrid copper/low k dielectric interconnect integration method and device | |
US20020155693A1 (en) | Method to form self-aligned anti-via interconnects | |
JP2006506806A (ja) | ハイブリッド誘電体を備えた高信頼低誘電率相互接続構造 | |
JP2004527909A (ja) | 誘電体バリアフィルムを用いたダマシンプロセス | |
JP2004186697A (ja) | 単一波状形状バイアあるいは溝空洞の形成方法および二重波状形状バイア空洞の形成方法 | |
JPH1074755A (ja) | マイクロエレクトロニク構造および形成方法 | |
CN115295530B (zh) | 一种半导体结构及其制作方法 | |
US6495448B1 (en) | Dual damascene process | |
KR20010019643A (ko) | 저유전율 절연막을 갖는 다층 금속배선의 형성방법 | |
US6638849B2 (en) | Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer | |
JP2003303880A (ja) | 積層層間絶縁膜構造を利用した配線構造およびその製造方法 | |
GB2330001A (en) | Multilevel interconnect with air dielectric | |
JP2004006708A (ja) | 半導体装置の製造方法 | |
US6403471B1 (en) | Method of forming a dual damascene structure including smoothing the top part of a via |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20081104 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090204 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090209 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090304 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090309 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090406 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20090602 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090925 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20091013 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20091110 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20091209 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121225 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121225 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131225 Year of fee payment: 4 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |