JP2004186697A - 単一波状形状バイアあるいは溝空洞の形成方法および二重波状形状バイア空洞の形成方法 - Google Patents

単一波状形状バイアあるいは溝空洞の形成方法および二重波状形状バイア空洞の形成方法 Download PDF

Info

Publication number
JP2004186697A
JP2004186697A JP2003405989A JP2003405989A JP2004186697A JP 2004186697 A JP2004186697 A JP 2004186697A JP 2003405989 A JP2003405989 A JP 2003405989A JP 2003405989 A JP2003405989 A JP 2003405989A JP 2004186697 A JP2004186697 A JP 2004186697A
Authority
JP
Japan
Prior art keywords
etch
etch stop
layer
cavity
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003405989A
Other languages
English (en)
Inventor
Ping Jian
ジアン ピン
Robert Kraft
クラフト ロバート
Guoquiang Xing
シン グオキアン
Karen Kirmse
カームス カレン
Eden Zielinski
ジーリンスキー イーデン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JP2004186697A publication Critical patent/JP2004186697A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】溝あるいはバイア空洞を、単一あるいは二重波状形状相互接続構造に形成する方法を提供する。
【解決手段】本方法は、誘電体層をエッチングしてそこに空洞を形成し下に位置するエッチング止め層を露出し、露出したエッチング止め層をエッチングして空洞を拡張し、現存の相互接続構造における導電形状特徴を露出することを含む。ここで、誘電体層の一部分のエッチングとエッチング止め層の露出部分のエッチングは、何の処理も介在させずに同時に実行される。また、バイア空洞を二重波状形状相互接続構造に形成する方法は、現存の相互接続構造上にエッチング止め層を形成し、エッチング止め層上に誘電体層を形成し、誘電体層の一部分をエッチングしてバイア空洞を誘電体層に形成しエッチング止め層の一部分を露出し、エッチング止め層をエッチングしてバイア空洞を拡張することを含む。ここで、誘電体層はエッチング止め層のエッチングの間覆われている。
【選択図】図3

Description

本発明は一般に半導体素子、および、特に半導体素子の製造における単一および二重波状形状相互接続構造(single and dual damascene interconnect structures)の製造方法に関する。
集積回路のような半導体製品の製造において、個々の電気素子は、半導体基板上あるいはその中に形成され、その後相互接続され電気回路を形成する。集積回路内のこれらの素子の相互接続は通常、電気素子上に形成された層に多重レベル相互接続ネットワーク構造を形成することにより達成され、この多重レベル相互接続ネットワークにより、素子能動要素は他の素子に接続され、望ましい回路を形成する。多重レベル・ネットワーク内の個々の配線層は、個別の素子上にあるいは先の相互接続層上に絶縁あるいは誘電体層を付着し、バイアのような接触開口を型取りしエッチングすることにより形成される。タングステンのような導電材料がそれからバイア内に付着(deposit)され、層間接触を形成する。導電層をそれから誘電体層上に形成し型取りし、素子バイア間の配線相互接続を形成してもよい。これにより、基本回路の第1のレベルが形成される。誘電材料をそれから型取りされた導電層上に付着し、付加的誘電体層上に付加的配線レベルを置きそれらの間に導電バイアを形成する処理を任意の回数繰り返し、多重レベル相互接続ネットワークを形成することができる。
素子密度が増し動作速度が速くなり続けているので、集積回路における遅延時間を減少させることが望ましい。これらの遅延は、隣接する金属線間の静電容量と同様に、多層相互接続ネットワークを通る相互接続金属線の抵抗にも関連する。金属層あるいは構造に形成された相互接続金属線の低効率を減少させるために、最近の相互接続処理は、アルミニウムの代わりに銅を使用してきた。しかし、付着された銅を型取りし(エッチングして)配線パターンを形成するには困難が伴う。さらに、銅は、二酸化ケイ素のようなある型の絶縁層においては速く拡散し、絶縁の質を悪くし、および(あるいは)銅が絶縁層を通り素子領域にまで拡散することとなる。
銅の型取りの難しさは、空洞が誘電体層に形成(エッチング)された単一あるいは二重の波状形状相互接続処理を使用することによって、回避あるいは軽減されてきた。銅はそれから、溝内および絶縁層上に付着され、化学的機械的研磨(CMP)処理を使用して平面化され、誘電体層の溝内にはめ込まれた望ましい相互接続金属線を含む銅配線パターンを形成する。単一波状形状処理においては、銅溝パターンあるいはバイアが形成され、これらはその下に存在する相互接続構造に接続するが、二重波状形状処理においては、バイアおよび溝の双方が、1回の銅付着および1回のCMP平面化を使用して同時に満たされる。
銅拡散の問題は、銅とシリコン基板との間および銅と誘電体層との間に形成された銅拡散障壁を使用して対応されてきた。このような障壁は通常、多様な遷移金属自体と同様、窒化タンタル、窒化チタン、および窒化タングステンのような遷移金属の導電化合物を使用して形成される。窒化ケイ素および酸化窒化ケイ素のような絶縁体はまた、銅治金と絶縁層との間の障壁材料として使用されている。より最近には、炭化ケイ素(SiC)が、溝および(あるいは)バイア空洞の形成の間に使用されるエッチング止め層(etch-stop layer)におけるのと同様に、銅拡散障壁材料として使用されている。
RC遅延時間もまた、配線金属線間の静電容量を減少させその結果回路速度を速めるために、配線金属線間に形成された低誘電率(低−k)誘電材料の最近の開発によって短縮されてきている。低−k誘電材料の例として、ポリシルセスキオキサン(polysilsesquioxanes)、フッ素化シリカグラス(FSG)およびフッ素化ポリアリーレン(polyarylene)エーテルのような有機および準有機材料並びに、スピン−オン−グラス(spin-on-glass)(SOG)が含まれる。フッ素化ポリアリーレン・エーテルのような完全に有機的な非石英質の材料は、それらの誘電特性が好ましく応用が容易なことから、半導体処理技術においてその使用が増えてきている。他の低−k絶縁材料には、例えば誘電率(k)が約2.6−2.8と低い有機ケイ酸塩ガラス(OSG)、および誘電率が2.5より低い超低−k誘電体がある。OSG材料は、低−k誘電特性を達成するためにアルキル基群を付加した、低密度ケイ酸塩ガラスである。
従来の単一および二重波状形状相互接続処理は通常、誘電体層を通るバイア空洞(via cavity)の形成を含む。この形成において、バイア・エッチング処理は、誘電体の下に位置するエッチング止め層上で止まる。レジスト除灰処理(resist ashing process)がそれから、バイア・エッチング・フォトレジスト・マスクを除去するために使用され、ウエット・クリーン操作(wet clean operation)がそれから、バイア空洞からポリマーおよび他の残余材料を除去するために選択的に実行される。単一波状形状の場合はそれから、既存の相互接続層における導電形状特徴(conductive feature)(例えば、ケイ化物接触あるいは銅形状特徴)のような、下に位置する構造を露出するために、エッチング止め層エッチング処理が実行される。バイア空洞はそれから銅で満たされ、ウエハは平面化される。その後さらなる相互接続レベルを形成してもよい。二重波状形状の場合、バイア除灰およびウエット・クリーン操作の後、溝空洞(trench cavity)がエッチングされ、それに続き他の除灰操作および選択的に他のウエット・クリーンが行われる。その後、下に位置する構造を露出するためにエッチング止め層エッチングが実行され、バイアおよび溝空洞が同時に銅で満たされ、ウエハが平面化される。
従来の単一および二重波状形状相互接続処理においては、しかし、エッチング止め層エッチング処理は、エッチング止め層をエッチングするだけでなく、露出した誘電材料をも後退させてしまう。その結果、レベル間誘電体(ILD)および(あるいは)金属内誘電体(IMD)はより薄くなってしまう。加えて、単一波状形状の場合、エッチング止め層エッチングおよびそれに続くクリーニング工程(例えば、除灰およびウエット・クリーン)は、しばしばバイア輪郭を変化させ、その臨界寸法(CD)を大きくする。新しい技術は半導体素子におけるさらにより小さいCDを要求するので、CD制御はより重要になっている。さらに、従来のバイア側壁はエッチング止めエッチングの間弓形になり、バイア・エッチング処理の後のクリーニングの支障となり、バイア輪郭を歪めることになる。二重波状形状の場合、エッチング止めエッチングおよびそれに続くクリーニングもまた、最上部誘電体表面および溝空洞の側壁に影響を与える。その結果、結果として形成された構造の実効誘電率は増加する可能性がある。従って、製造費用あるいはサイクル時間に不利な影響を与えることなく、これらおよび他の不利な影響を軽減あるいは克服することのできる、半導体ウエハにおける単一および(あるいは)二重波状形状相互接続構造を製造するための改良された方法がまだ必要である。
以下に、本発明の1つあるいは複数の態様が基本的に理解できるように、単純化された要約を記述する。この要約は本発明の広範な大要ではなく、本発明の重要点あるいは重要な要素を特定することや本発明の範囲を特定することを意図したものではない。むしろ本要約の主な目的は、本発明のいくつかの概念を、後述するより詳細な説明に対する導入として単純化された形で提示することである。本発明は、上記および他の不利な影響を軽減するために使用することのできる、単一あるいは二重波状形状相互接続構造を製造するための方法に関する。本発明は、よりよいバイアCD制御を容易にし、IMDあるいはILD材料に対するエッチング止め層の選択性を改善し、および(あるいは)製造処理フローを単純化するために使用することができる。
本発明の1つの態様によると、溝あるいはバイア空洞を単一波状形状相互接続構造に形成するための方法が提供される。本方法は、誘電体層をエッチングしてそこに空洞を形成し、下に位置するエッチング止め層を露出することを含む。露出されたエッチング止め層はそれから、空洞を拡張し下に位置する(例えば既存の)相互接続構造における導電形状特徴を露出するために、エッチングされる。ここで、誘電体層の一部分のエッチングおよびエッチング止め層の露出した部分のエッチングは同時に実行され、実質的にこれらの間に介在する処理工程は無い。除灰およびウエット・クリーニングのようなクリーニング工程をこの後行ってもよく、その後に空洞充填および平面化が続く。
1つの実施において、バイア・エッチングおよびエッチング止めエッチングは、その場で(in-situ;インサイチューで)、単一のエッチング・ツールにおいて実行され、エッチング止めエッチングは、バイア・エッチングの直後に実行される。本発明はまた、バイアおよびエッチング止めエッチング操作を同時に実行し、エッチング止めエッチングを、少なくとも誘電材料の一部分が覆われた状態で実行する。1つの例において、エッチング止めエッチングは、バイア・エッチングの直後に実行され、バイア・エッチング・レジストは、エッチング止めエッチングの間誘電材料を覆って残っている。エッチング止めエッチングの間にレジストがあるので、バイア側壁上にはより多くのポリマーが付着し、バイア輪郭が保護される。このように、本発明は、結果として形成されるバイアあるいは溝構造のCDおよび輪郭の不利な変化を軽減あるいは避けるために使用することができ、そして、従来の処理においては起こっていた誘電体の厚さが薄くなることを軽減する。本発明は、専用のエッチング止めエッチング・ツールを不要にし、除灰およびウエット・フードをなくすことによって、製造処理フローの単純化を容易にし、費用をかなり削減し、またサイクル時間をかなり短縮する。さらに、IMDあるいはILD材料に対するエッチング止め層の選択性(例えば、OSGに対するSiCの選択性)が、例えば、エッチング止めエッチングの間にレジストがあることにより、改善されうる。
本発明の他の態様は、二重波状形状相互接続構造にバイア空洞を形成する方法を提供する。本方法は、バイア先行、溝先行、および(あるいは)他の二重波状形状方法と関連して使用することができる。本発明の本態様は、現存の相互接続構造上にエッチング止め層を形成し、エッチング止め層上に誘電体層を形成することを含む。誘電体層の一部分はそれからエッチングされ、誘電体層にバイア空洞を形成し、エッチング止め層の一部分を露出する。エッチング止め層はそれからバイア空洞を拡張するためにエッチングされ、誘電体層は、エッチング止め層のエッチングの間、バイア・レジスト・パターンによって覆われている。レジストがエッチング止めエッチングの間誘電体を覆っているので、バイア側壁上にはより多くのポリマーが付着し、バイア輪郭を保持するのに役立つ。本方法は、バイア先行(via-first)および溝先行(trench-first)の双方の二重波状形状処理フローにおいて使用することができる。本方法において、バイア・エッチングおよびエッチング止めエッチングは、その場で、単一のエッチング・ツールにおいて実行してもよく、エッチング止めエッチングはバイア・エッチングの直後に実行してもよい。
上記および関連する目的を達成するために、以下の記述および付随する図面において、本発明のいくつかの例示的態様および実施を詳細に説明する。これらは、本発明の原理を使用することのできる多様な方法のいくつかを示すものである。本発明の他の態様、利点および新しい特徴は、以下の本発明の詳細な説明を図面と共に考察することにより明らかとなるであろう。
本発明を、付随する図面を参照しながらここに説明する。この記述にわたり、図面における同じ参照番号が同じ構成要素を指すために使用される。本発明は、集積回路および他の半導体素子の相互接続処理の間にバイアおよび(あるいは)溝空洞あるいは開口を含む、単一および(あるいは)二重波状形状相互接続構造を形成するための方法に関する。本発明の1つあるいは複数の実施が以下に、炭化ケイ素(SiC)エッチング止め層が使用されている低−k有機ケイ酸塩ガラス(OSG)構造における、単一あるいは二重波状形状溝および(あるいは)バイア空洞の形成の場合において、図示され記述される。しかし、この分野の技術者には、本発明は以下に図示および記述される例示的実施に制限されないことが理解されるであろう。特に、本発明の多様な態様は、OSG、FSGあるいは他の低−kあるいは超低−k誘電材料、および他の型のエッチング止め層材料を使用する素子の処理に関連して使用することができる。さらに、本発明の二重波状形状形成方法は、バイア先行および(あるいは)溝先行実施と関連して使用することができる。
まず図1A−1Fを参照すると、従来の単一波状形状相互接続処理の1つあるいは複数の問題あるいは欠点が、本発明により可能となる利点が理解できるように、図示され記述されている。図1Aはシリコン基板4を含むウエハ2を示し、シリコン基板4には導電ケイ化物構造5が形成されている。最初の接触層が基板4上に形成され、接触層は、そこを貫き拡張するタングステン接触7を有する誘電体6を含む。第1の相互接続構造が接触層上に形成され、第1の相互接続構造はエッチング止め層(図示されていない)を含み、その上に誘電体8が付着される。導電形状特徴10が誘電体8、エッチング止め層を通して形成され、接触7への電気的結合を提供する。単一波状形状相互接続レベルを形成するために、SiNあるいはSiCエッチング止め層12が誘電体8および導電形状特徴10上に形成され、導電体層14がエッチング止め層12上に約5000−6000Åの厚さ14´に形成される。底辺非反射コーティング(BARC)層16が、誘電体14上に付着され、レジスト・マスク18がBARC層16上に形成される。図1Aにおいて、バイア・エッチング処理22が、バイア空洞24をBARCおよび誘電体層16および14のそれぞれに形成するために実行され、エッチング止め材料12の上で止まる。
その後図1Bにおいて、レジスト除灰処理26がマスク18およびBARC16を除去するために使用され、ウエット・クリーン操作28が図1Cにおいて実行される。その結果形成されたバイア空洞24は臨界寸法(CD)20を有する。図1Dにおいてエッチング止めエッチング処理30が実行され、バイア空洞24の底における露出したエッチング止め層材料12をエッチングし、誘電材料を、空洞24の側壁からと同様に層14の露出した上面からも除去する。その後図1Eにおいて、他の除灰操作32が実行され、ウエット・クリーン34が図1Fにおいて実行される。この従来の単一波状形状処理後には、結果として形成されたバイア空洞24は、元の寸法20(図1C)よりかなり大きい臨界寸法20´(図1F)を有する。加えて、エッチング止めエッチングおよびクリーニング処理30、32および34は、層14の誘電体(例えばILD)の厚さをより小さい寸法14″(図1F)にまで薄くしている。寸法14″は最初の寸法14´(図1A)よりかなり小さい。
ここで図2A−2Fを参照すると、従来の二重波状形状処理において、同様の問題が見られる。図2Aは基板54を含むウエハ52を示し、基板54には導電ケイ化物構造55が形成されている。誘電体56および導電接触57を含む最初の接触層が、基板54上に形成される。第1の相互接続構造が接触層上に形成され、第1の相互接続構造はエッチング止め層(図示されていない)および誘電体層58を含み、導電形状特徴60が誘電体層58に形成され接触57への電気的結合を提供する。エッチング止め層62が誘電体58および接触60上に形成され、誘電体層64がエッチング止め層62上に約7000−8000Åの厚さに形成される。BARC層66がそれから誘電体64上に形成され、レジスト・マスク68がBARC層66上に形成される。図2Aにおいてバイア・エッチング処理72が実行され、バイア空洞74を層66および64に形成し、エッチング止め層62の上で止まる。図2Bにおいて、レジスト除灰処理76およびウエット・クリーン78が実行され、マスク68およびBARC66を除去する。その結果形成されたバイア空洞の臨界寸法は70となる。
図2Cにおいて、第2のBARC層80および溝レジスト・マスク82がウエハ52上に形成され、溝エッチング操作84が実行され溝空洞あるいは開口86を形成し、溝の底面の厚さ88を、先の相互接続誘電材料58の上約3000−4000Åとする。他の除灰操作90およびウエット・クリーン92が図2Dにおいて実行され、エッチング止めエッチング処理94がそれから図2Eにおいて実行され、バイア空洞74の底における露出したエッチング止め層材料62をエッチングする。図2Eに示されるように、エッチング止めエッチング94はまた、誘電材料を、層64の露出した上面から、溝空洞86の底面および側壁から、そしてまたバイア空洞74の側壁から除去する。その後図2Fにおいて、他の除灰操作96およびウエット・クリーン98が実行される。これにより、図2Fに示されるような構造が形成される。この構造において、特にエッチング止め層エッチング94はILDの厚さ88´をその元の厚さ88(図2C)より薄くし、同時にバイア74および溝86に対するCDをそれらの元の寸法 (図2C)より大きくしている。
この二重波状形状処理後には、図2Fにおける結果として形成されたバイア空洞74は、図2Bおよび2Cの元の寸法70よりかなり大きい臨界寸法70´を有する。また、エッチング止めエッチングおよびクリーニング処理94、96および98は、以下に図5A、8Aおよび8Cの例示イメージに関してさらに図示され記述されるように、層の誘電体(例えばILD)の厚さを薄くしている。図1A−1Fおよび2A−2Fにそれぞれ示される従来の単一および二重波状形状処理において、図1Dおよび2Eにおけるエッチング止め層エッチング工程は、相互接続空洞および構造の輪郭およびCDに不利な影響を与え、ILD/IMD層を薄くし、それに対応して完成した構造の実効誘電率を高くすることになる。相互接続経路線とバイアとの間の静電容量を減少させ、その結果現代の半導体素子における回路速度を増すために、本発明は、これらの困難を軽減あるいは回避することができる、単一および二重波状形状相互接続構造の形成方法を提供する。
ここで図3を参照すると、バイアあるいは溝のような単一波状形状相互接続構造を形成するための例示的方法100が示され、以下にこの方法について説明する。ここで方法100および他の方法は一連の動作あるいは事象として以下に図示され説明されるが、本発明はこのような動作あるいは事象の図示される順序に制限されないことが理解されるであろう。例えば、いくつかの動作は、本発明に従って、ここに図示および(あるいは)記述されるものとは別に、異なる順序でおよび(あるいは)他の動作あるいは事象と同時に起こってもよい。加えて、全ての図示された工程が、本発明による方法論を実施するために必要であるわけではない。さらに、本発明による方法は、ここに図示され記述される構造の形成および(あるいは)処理に関連して実施することができるのと同様に、図示されていない他の構造と関連しても実施することができる。
例示的方法100を、半導体ウエハにおける単一波状形状バイア形成の場合において以下に記述する。しかし、例示的方法100および本発明の他の単一波状形状方法論は、単一波状形状溝構造の形成において、代替的にあるいは組み合わせて使用することができることが理解できるであろう。方法100は、102において開始し、104においてエッチング止め層を現存の相互接続構造上(例えば、先の波状形状構造上あるいは最初の接触レベル上)に形成し、106において低−k誘電体層をエッチング止め材料上に形成することを含む。任意の適当なエッチング止めおよび誘電性の材料および層の製造技術を、104および106においてそれぞれ使用することができる。例えば、SiNあるいはSiCエッチング止め材料を約600Åの厚さに、例えば化学蒸着(CVD)その他の任意の適当な付着技術を使用して付着することができる。ハードマスクあるいはキャップ層を選択的に使用することもできる。108において、非反射特性を有する任意の適当な有機材料のBARC(底辺非反射コーティング)層が、誘電体層上に約800Åの厚さに選択的に付着される。それから110において、例えば、既知のフォトリソグラフィ技術およびフォトレジスト材料を使用して、レジスト・マスクが付着され、ウエハの後にバイアが形成される領域に開口を有するよう型取りされる。
106において、誘電体層を、任意の適当な技術、例えば有機ケイ酸塩ガラス(OSG)材料をSiCエッチング止め層上に約5000Åの厚さに付着することによって形成してもよい。任意の適当な付着処理を、106においてOSG層を形成する際に使用することができる。作動の際、低−k誘電体層は、現存の相互接続構造における導電形状特徴と、低−k誘電体における溝の上あるいは中に後に形成された形状特徴との間のような、上および下に位置する導電形状特徴の間の絶縁を提供する。この点に関し、OSG材料は、完成した半導体素子におけるRC遅延および信号間の漏話を回避あるいは軽減するのに望ましい、比較的低い誘電率特性を提供することに注意されたい。加えて、任意の誘電材料を、106において誘電体層を形成する際に使用することができることが理解されるであろう。誘電材料は、OSG、FSG、超低−k誘電体、その他を含むが、これらに限定されるものではない。また、本発明は、ここに記述されるOSG材料と共に使用することに限定されない。
その後、その場での(in-situ)処理フロー112が、本発明の1つの態様に従って実行される。ここで、バイア空洞がBARC、誘電体、およびエッチング止め層を通して形成される。その場での処理は、単一の反応イオン・エッチング(RIE)ツールにおいて、例えば真空を中断することなく、実行してもよい。114aにおいて、露出したBARC層が、型取りされたレジストをマスクとして使用してエッチングされ、バイア主(via main)エッチングが114bにおいて、誘電体層の一部分を除去するために実行され、そこにバイア空洞あるいは開口を形成する。その後、バイア・オーバ・エッチング処理(via over-etch process)が114cにおいて実行され、空洞内の誘電材料の残余部分を除去し、下に位置するエッチング止め層材料の一部分を露出する。116において、空洞を拡張し、下に位置する相互接続構造における導電形状特徴を露出するために、エッチング止め材料の露出した部分がエッチングされる。114a−114cのバイア・エッチング動作と116のエッチング止めエッチングとの間には、実質的に介在する処理はない。
例示的方法100において、114a−114cにおけるバイア・エッチングおよび116におけるエッチング止めエッチングは、その場で、単一RIEエッチング・ツール内で実行される。しかし、本発明の範囲内において他の実施も可能であり、116におけるエッチング止めエッチングは、バイア・エッチング114と同時にあるいはその直後に実行することもできる。加えて、本発明はまた、バイアおよびエッチング止めエッチング動作が実質的にそれらの間に何の処理工程も介在させずに実行される、代替的実施も含む。例えば、図示される方法100において、バイア・エッチング114と116におけるエッチング止め層エッチングとの間には、除灰あるいはウエット・エッチング操作は実行されない。従って、従来の単一波状形状方法(例えば、上記図1A−1F)と比較すると、例示的方法100においては、116におけるエッチング止めエッチングの間、上部誘電体表面は覆われていることになる。型取りされたレジスト・マスクが、エッチング止めエッチング116が完了するまで残っているからである。
さらに、例示的方法100は、誘電体層を通るバイア空洞のその場でのエッチング(例えば114)およびバイア空洞をエッチング止め層を通して拡張するためのエッチング止めエッチングを提供するが、本発明の範囲内において、これらのあるいは他の同等の動作が異なるエッチング・ツールにおいて実行される、他の実施も可能であることに注意されたい。さらに、本発明に従って、1つあるいは複数の処理工程あるいは動作を、バイア・エッチングとエッチング止めエッチング動作の間に実行することもできる。ここで、誘電体層は、エッチング止めエッチングの間覆われている。図示された方法100において、バイア・エッチング工程からのレジスト・マスクはエッチング止めエッチング116の間残っている。しかし、本発明の範囲内における他の実施も可能である。他の実施において、誘電体の全部分あるいは一部分が、エッチング止めエッチング116の全体あるいは一部の間、任意の手段によって覆われている。また、例示的方法100は、誘電体層を通る多工程エッチング(例えば114b、114c)を提供するが、他の実施も考えられる。他の実施においては、BARCエッチング、バイア・エッチング、および(あるいは)エッチング止めエッチング動作は、本発明の範囲内において、個々に単一工程および(あるいは)多工程操作を含んでもよい。
図示された例において、動作114a−114cおよび116は、後にバイアが形成される領域において現時点で露出している層(例えば、BARC層、それから誘電体層、そしてエッチング止め層)から材料を除去するために、単一RIEエッチング・ツールにおいて、適当なエッチング化学的性質を適宜に変化させながら実行される。さらに、有機BARC材料、OSG型低−k誘電材料、およびSiCあるいはSiNエッチング止め層材料に関して図示され記述されているが、本発明に従って、任意の適当な材料をこれらの層を形成する際に使用することができる。この場合、適当なエッチング化学的性質および選択性は、バイア空洞を形成し拡張するためにエッチング操作114−116を実行する際に選択することができる。さらに、単一波状形状バイア形成フローの場合において説明しているが、本発明は、単一波状形状溝構造および空洞を形成するための実施も含む。この場合、上記のエッチング技術は、溝開口あるいは空洞をBARC、誘電体およびエッチング止め層を通して形成するために使用することができる。
方法100の1つの例示的実施において、114bおよび114cにおける誘電体層を通るバイア・エッチングは、2工程処理を含み、これらの各工程に対して異なるエッチング化学性質を有する。114bにおける主エッチングは、空洞における誘電材料の大部分をエッチングするために実行され、約1000−2000Åの誘電材料を残存させる。処理パラメータはそれから、114cにおけるオーバ・エッチング(over-etch)に切換えられ、オーバ・エッチングは、エッチング止め層の上で止まるように時間制御されるが、エッチング止め材料の上で止まるように他の形式の処理制御を使用することもできる。ここで、114cにおける例示的オーバ・エッチングは、114bにおける主エッチングが有するよりも、エッチング止め層に対するより高い選択性を有する。
いったんエッチング止め層が露出されると、エッチング処理パラメータは、エッチング止め材料をエッチングするために再び調整され、下に位置する導電形状特徴(例えば、銅構造)を露出するために、下に位置する(例えば、既存の)相互接続構造に合わせて選択される。バイア・レジスト・マスクを除去するための介在する除灰あるいはウエット・エッチング処理がないので、方法100は、116においてエッチング止め層の露出した部分をエッチングする間、誘電体層上にレジスト・マスクを提供することに注意されたい。このことは、また、116におけるエッチング止めエッチングの間の、エッチング止めエッチングに関連する誘電材料への損傷を軽減あるいは回避するのに有利であり、このことにより、バイアCDおよび輪郭、および誘電体層の厚さが保護される。
112におけるその場での処理に続き、方法100は118に進み、ここでレジスト剥離あるいは除灰操作が実行され、110において最初に形成されたレジストマスク、および、108において付着されたBARC材料が除去される。ウエット・クリーン操作がそれから120において選択的に実行される。ウエット・クリーン操作は、例えば、118における除灰操作の後でもまだ残っているかもしれないRIEエッチング操作からのポリマーをすべて除去するために、ウエット溶剤を使用する。銅拡散障壁層がそれから、122において形成される。銅拡散障壁層は、バイア空洞を整列させるのに役立ち、例えば、多様な遷移金属自体と同様、窒化タンタル、窒化チタン、および窒化タングステンのような遷移金属の導電化合物を含む。窒化ケイ素および酸化窒化ケイ素のような絶縁体も、SiC同様使用することができる。124において、後に続くバイア空洞の銅充填を容易にするために、シード銅層(seed copper layer)がそれから拡散障壁上に付着される。
電子化学付着(ECD)処理がそれから126において実行され、ウエハ上に銅層が付着される。銅層はバイア空洞を満たし、残りの誘電体の上を障壁層で覆う。任意の適当な銅付着処理あるいは動作124−126を使用することができ、これらは単一工程あるいは多工程処理であってもよい。その後128において、化学的機械的研磨(CMP)処理が実行され、素子の上部表面が平面化される。研磨は理想的には、誘電体層の上で止まり、拡散障壁および付着された銅を薄くする。このように、平面化処理128は、導電(例えば銅)バイアを、素子内に形成された他の導電バイアから電気的に分離する。このことにより、下に位置する導電形状特徴と続いて形成された相互接続構造との接続を制御することができる。この後、方法100は130において終了する。
図4A−4Pにも参照すると、本発明の本態様による単一波状形状相互接続構造が形成される、例示的ウエハ202が示されている。図4A−4Pは、単一波状形状バイア構造の形成を示している。しかし、本発明は、ここに図示され記述される原理に従って、単一波状形状溝構造(図示されていない)の形成にも使用することができる。図4Aは、製造の中間段階にあるウエハ202を示し、ウエハ202は、導電ケイ化物構造205が形成されているシリコン基板204を含む。最初の接触層が基板204上に形成され、接触層は、それを貫いて拡張しケイ化物205に電気的に接触するタングステン接触207を有する誘電体206を含む。先に形成された相互接続構造が接触層上形成され、相互接続構造は、エッチング止め層(図示されていない)および、導電形状特徴(例えば、銅溝金属)210が接触207への電気的結合を提供するために形成された誘電体208を含む。本発明は、任意の現存の相互接続構造と関連して、そこにある導電形状特徴への電気的結合を提供するために使用することができる。図4Bにおいて、SiNあるいはSiCエッチング止め層212が、現存の相互接続構造の誘電体208および導電形状特徴210上に、約500−800Åの厚さ212´に、付着処理213によって形成される。例えば低−kOSG誘電材料あるいはその他の材料の誘電体層214が、図4Cにおける付着処理215によって、エッチング止め層212上に、約5000−6000Åの厚さ214´に形成される。
有機BARC層216が、図4Dにおいて、誘電体214上に付着処理217によって、約600−800Åの厚さ216´に付着される。その後図4Eにおいて、レジスト・マスク218がBARC層216上に形成され、レジスト・マスク218は、後にバイアが形成される領域における開口220を有する。図4FにおいてバイアBARCエッチング処理222が実行され、バイア領域220におけるBARC層216から材料を除去する。バイア主エッチング処理224がそれから図4Gにおいて使用され、バイア空洞226が誘電体層214内に形成され、バイア空洞226の底辺におけるエッチングされていない誘電材料214は厚さ228となる。ここで、バイア主エッチング224は、かなりのエッチング率を有し、実質的に異方性である。バイア・オーバ・エッチング処理230(例えば、エッチング止め層212に関して高度に選択的である)がそれから図4Hにおいて実行され、さらに空洞226を誘電体層214の残りの部分を通して形成し、下に位置するエッチング止め層212の上でその一部分を露出して止まる。エッチング止めエッチング232が、図4Iにおいてその直後に(例えば、オーバ・エッチング230と同時に)実行される。
その後、図4Jにおいて、レジスト除灰処理234が、残存するレジスト・マスク218およびBARC層216を除去するために使用され、ウエット・クリーン操作236が図4Kにおいて実行される。図4Kにおいて、従来の単一波状形状処理(例えば、上記図1F)とは異なり、バイア空洞226の輪郭およびCDが、エッチング止めエッチング232の前と本質的に同じままであることに注意されたい。レジスト・マスク218がエッチング止めエッチング232の間保持されていたからである(図4I)。この点に関して、レジスト218を誘電体214上に有することは、バイア226のCDおよび輪郭を保持することに役立つことに注意されたい。しかし、CDおよび輪郭は、レジスト218がなくても大丈夫であることもある。図4Lにおいて、銅拡散障壁層238が、付着処理237によって形成され、銅シード層240が図4Mにおいて、付着処理239によって形成される。ECD銅付着処理241がそれから、銅242を付着するために図4Nにおいて実行され、それにより、バイア空洞226を満たし、ウエハ202の残りの部分を覆う。この後、CMP平面化処理243が、ウエハ202を平面化するために図4Oにおいて使用され、これにより導電単一波状形状バイア構造が完成する。
この後、図4Pに示されるように、例えば、上記の単一波状形状技術を使用して、他のエッチング止め層244、低−k誘電体層245、および、銅拡散障壁層246、銅シード層247およびECD付着銅充填材料248を含む溝構造、を含む、次に続く相互接続レベルあるいは層を構築してもよい。任意の数のこのような層あるいはレベルを本発明に従って製造し、ウエハ202の現存の相互接続構造における導電形状特徴210への電気的結合を提供することができる。
また、図5Aおよび5Bを参照すると、走査型電子顕微鏡(SEM)イメージが、従来の技術と比較して、上記の例示的方法100を含む本発明の単一波状形状方法を実行すると実現することのできるいくつかの利点を説明するために、示されている。図5Aは、従来の処理(例えば、上記図1A−1F)に従って形成された、エッチング止めエッチングの後の単一波状形状バイアの断面SEMイメージ250を示している。図5Bは、本発明(例えば、図3および4A−4P)に従って形成された、エッチング止めエッチング後の単一波状形状バイアの断面SEMイメージ252を(図5Aのイメージ250と同じ倍率において)示している。
図5Aおよび5Bからわかるように、従来の単一波状形状技術(図5A)は、(例えば、エッチング止めエッチングの間の誘電材料の露出、あるいは上部誘電体に対する選択性が十分でないことにより)誘電体の厚さ251をかなり薄くするのに対して、イメージ252(図5B)における誘電体の厚さ253は、本発明により維持されている。このことにより、(例えば、上記図4Cにおける誘電体層の形成のような)処理フロー工程を、過去において行われたようにエッチングに関連した減少を補償しなくとも、望ましい最終的厚さを提供するように調整することができる。さらに、バイア輪郭は、イメージ252においては、イメージ250の従来の場合におけるよりもかなりよいものである(例えば、図5Bにおいては図5Aにおけるよりも弓なりが少ない)。さらに、図5Bのイメージ252におけるCDは、図5Aにおけるものよりかなり小さい。
本発明の他の態様により、半導体ウエハにおける現存の相互接続構造の上に位置する二重波状形状相互接続構造を形成するための方法が提供される。この方法は、バイア先行実施あるいは溝先行二重波状形状実施において、現存の相互接続構造における導電形状特徴に電気的結合を提供するために、使用することができる。例示的バイア先行方法300が、図6Aおよび6Bに示されている。方法300は以下に一連の動作および事象として図示され記述されるが、本発明は、これらの動作および事象の図示される順序によって制限されないことが理解されるであろう。例えば、いくつかの動作は、本発明に従って、ここに図示されおよび(あるいは)記述されるものとは別に、異なる順序および(あるいは)他の動作あるいは事象と同時に、起こってもよい。加えて、本発明による方法論を実施するために、図示される全ての工程が必要であるわけではない。さらに、本発明による方法は、ここに図示および記述される構造の形成および(あるいは)処理に関連してと同様、図示されていない他の構造と関連しても実施することができる。
方法300は302において開始し、上記動作104−108と同様に、304においてエッチング止め層を現存の相互接続構造上に形成し、306において低−k誘電体層をエッチング止め材料上に形成し、308において第1のBARC層を誘電体層上に選択的に形成することを含む。バイア・レジスト・マスクがそれから310において形成され型取りされ、バイア・レジスト・マスクは、ウエハのこれからバイアが形成される領域に開口を有する。その場での処理フロー312がそれから本発明の本態様に従って実行され、ここで、バイア空洞が、BARC、誘電体、およびエッチング止め層を通して、例えば単一反応イオン・エッチング(RIE)ツールにおいて同時に、形成される。314aにおいて、露出したBARC層が、型取りされたレジストをマスクとして使用してエッチングされ、バイア主エッチングが314bにおいて実行され、誘電体層にバイア空洞あるいは開口を形成する。バイア・オーバ・エッチング処理がそれから314cにおいて実行され、バイア空洞における誘電材料の残存する部分を除去し、下に位置するエッチング止め層材料の一部分を露出する。316において、エッチング止め層エッチング(例えば、RIEエッチング操作)が、エッチング止め材料の露出した部分を除去するためにそれから実行され、これにより、空洞を拡張し、下に位置する相互接続構造における導電形状特徴を露出する。
上記の単一波状形状の場合(例えば、上記図3)におけるように、314a−314cのバイア・エッチング動作と316のエッチング止めエッチングの間には、実質的に他の処理は実行されない。314a−314cにおけるバイア・エッチングおよび316におけるエッチング止めエッチングは、その場で単一RIEエッチング・ツール内において実行してもよいが、そうしなければならないわけではない。ここで、他の実施が、本発明の範囲内において可能であり、他の実施においては、316におけるエッチング止めエッチングは、バイア・エッチング314と同時にあるいはその直後に実行することができる。加えて、本発明はまた、バイアおよびエッチング止めエッチング動作がそれらの間に実質的に何の処理工程も介在させずに実行される、他の代替的実施も含む。例えば、図示される方法300においては、例示的バイア・エッチング314と316におけるエッチング止め層エッチングとの間には、何の除灰あるいはウエット・エッチング操作も実行されない。この点に関して、例示的方法300は、316におけるエッチング止めエッチングの間、上部誘電体表面を覆っている。型取りされたレジスト・マスクがエッチング止めエッチング316の後まで残っているからである。
例示的方法300は、誘電体層を通るバイア空洞のその場でのエッチング(例えば、314)および、バイア空洞をエッチング止め層を通して拡張するためのエッチング止めエッチングを提供するが、他の実施も本発明の範囲内において可能であり、他の実施においては、これらのあるいはこれらと同等の動作が、異なるエッチング・ツールにおいて実行される。さらに、本発明に従って、1つあるいは複数の処理動作を、バイア・エッチングとエッチング止めエッチング動作との間に実行してもよい。ここで、誘電体層は、エッチング止めエッチングの間覆われている。図示される方法300において、バイア・エッチング工程からのレジスト・マスクは、エッチング止めエッチング316の間残っている。しかし、他の実施も本発明の範囲内において可能であり、他の実施においては、誘電体の全部分あるいは一部分が、エッチング止めエッチング316の全体あるいは一部分の間、任意の手段により覆われている。さらに、例示的方法300は、誘電体層を通る多工程エッチング(例えば、314b、314c)を提供するが、他の実施も考えられ、他の実施においては、BARCエッチング、バイア・エッチング、および(あるいは)エッチング止めエッチング動作のうち任意の動作が、本発明の範囲内において、単一工程あるいは多工程操作であってもよい。
例示的方法300において、エッチング動作314a−314cおよび316は単一RIEエッチング・ツールにおいて実行され、露出した層から(例えば、BARC層、それから誘電体層、そしてエッチング止め層から)材料を除去するために、適当なエッチング化学性質は適宜変えられる。さらに、有機BARC材料、OSG型低−k誘電材料、およびSiCあるいはSiNエッチング止め層材料に関して図示し記述しているが、本発明に従って、任意の適当な材料をこれらの層を形成する際に使用することができる。ここで、適当なエッチング化学性質および選択性は、バイア空洞を製造するためにエッチング操作314−316を実行する際に、選択することができる。図示される方法300において、314bにおける主エッチングは、空洞における誘電材料の大部分を除去し、約1000−2000ÅのOSG低−k誘電材料を残す。処理パラメータはそれから314cにおけるオーバ・エッチングに切換えられ、オーバ・エッチングは、エッチング止め層の上で止まるように時間制御され、ここで、314cにおける例示的バイア・オーバ・エッチングは、314bにおけるバイア主エッチングが有するよりも、エッチング止め層に対するより高い選択性を有する。
エッチング止め層が露出されると、エッチング処理は、316においてエッチング止め材料をエッチングするために再び調整され、下に位置する導電形状特徴(例えば、銅構造)を露出するために、下に位置する(例えば、既存の)相互接続構造に合わせて選択される。単一波状形状の場合と同様に、二重波状形状方法300は、316においてエッチング止め層の露出した部分をエッチングする間、誘電体層上にレジスト・マスクを保持する。バイア・レジスト・マスクを除去するための介在する除灰あるいはウエット・エッチング処理がないからである。その結果、316におけるエッチング止めエッチングの間の、誘電材料へのエッチング止めエッチングに関連する損傷が軽減あるいは回避され、これにより、バイアCDおよび輪郭が保護される。312におけるその場での処理の後、処理300は318に進み、ここで、最初に310において形成されたレジスト・マスクおよび308において付着されたBARC材料を除去するために、除灰操作が実行される。ウエット・クリーン操作がそれから、RIEエッチング動作からの残存するポリマーを全て除去するために、320において選択的に実行される。
図6Bにも参照すると、第2のBARC層がそれから322において形成され、溝レジスト・マスクが324において形成され型取りされる。328aにおける溝BARCエッチングおよび328bにおける型取りされた溝主エッチングを含む、2工程溝エッチング326がそれから実行される。その後330において、溝レジスト・マスクおよび第2のBARC層を剥離するために、他の除灰操作が実行され、それに続き他のウエット・クリーン操作が332において実行される。拡散障壁がそれから334において形成され、後に続くバイアおよび溝空洞の銅充填を容易にするために、336においてシード銅層が拡散障壁上に付着される。溝およびバイア空洞はそれから、338においてECD処理を使用して銅で満たされる。方法300が342において終了する前に、CMP処理が340において実行され、素子の上部表面が平面化される。本発明の範囲内において代替的実施が可能であることに注意されたい。代替的実施においては、溝はバイア空洞の形成に先立ち形成され、バイア・エッチングおよびエッチング止めエッチング操作は、同時に、および(あるいは)それらの間に実質的に何の処理操作も介在させずに、および(あるいは)上記のように、誘電体層がエッチング止めエッチングの間少なくとも部分的に覆われた状態で実行される。
ここで図7A−7Nを参照すると、本発明による二重波状形状相互接続処理が実施される、他の例示的ウエハ402が示されている。図7Aは、製造の中間段階にあるウエハ402を示し、ウエハ402はシリコン基板404を含み、シリコン基板404には導電ケイ化物構造405が形成されている。最初の接触層が基板404上に形成され、接触層は、そこを貫き拡張しケイ化物405に電気的に接触するタングステン接触407を有する誘電体406を含む。現存の相互接続構造が接触層上に位置し、エッチング止め層(図示されていない)および誘電体408を含む。誘電体408には、銅溝金属のような導電形状特徴410が形成され、タングステン接触407への電気的結合を提供する。本発明の単一波状形状方法と同様に、本発明の二重波状形状処理は、図7Aに示されるように、最初の接触構造上に相互接続構造を製造する際に、および(あるいは)このような構造を、多層相互接続ネットワーク構造における他の単一あるいは二重波状形状構造上に形成する際に、実施することができる。
SiNあるいはSiCエッチング止め層412が、現存の相互接続誘電材料408および導電形状特徴410上に、例えば、約600−800Åの厚さ412´に形成され、低−kOSG誘電材料あるいはその他の材料のような誘電体層414が、エッチング止め層412上に約7000−8000Åの厚さ414´に形成される。約600−800Åの厚さの有機BARC層416が誘電体414上を覆い、これからバイアが形成される領域に開口420を有するバイア・レジスト・マスク418がBARC層416上に形成される。図7Bにおいて、バイアBARCエッチング処理422が実行され、バイア領域420におけるBARC層416を除去する。図7Cにおいて、バイア主エッチング処理424が、バイア空洞426を誘電体層414に形成するために使用され、バイア空洞426の底辺におけるエッチングされていない誘電材料414は厚さ428となる(例えば、約1000−2000Å)。バイア・オーバ・エッチング処理430が図7Dにおいて使用され、空洞426をさらに誘電体層414の残りの部分を通して形成し、下に位置するエッチング止め層412の上で止まり、エッチング止め層412の一部分を露出する。図7Eにおいて、エッチング止めエッチング432がその直後に(例えば、オーバ・エッチング430と同時に)実行され、下に位置する導電接触410を露出する。
その後図7Fにおいて、レジスト除灰処理434が、残存するレジスト・マスク418層およびBARC層416を除去するために使用され、ウエット・クリーン操作436が図7Gにおいて実行される。図7Hに示されるように、第2のBARC層438がそれからウエハ402上に形成され、ここで、BARC材料のある部分438´が、バイア空洞426の底に形成される。溝レジスト・マスク440がBARC層438上に形成され、溝BARCエッチング処理442が図7Iにおいて実行され、ウエハ402のこれから溝が形成される領域におけるBARC材料を除去する。ここで、BARCの一部分438´はバイア空洞426内に残存する。その後図7Jにおいて、RIE溝エッチング処理444が、溝空洞446を誘電体層414に形成するために使用され、ここで残余BARC材料438´の一定の量は、溝エッチング処理444の間バイア空洞426の底にまだ残っている可能性がある。溝エッチング処理444に続き、他の除灰処理448が図7Kにおいて実行され、溝レジスト・マスク440および残存するBARC材料(例えば、バイア空洞426内のBARC438´)を全て除去する。この後、他のウエット・クリーン処理450が図7Lにおいて実行される。
図7Mに示されるように、銅拡散障壁層452および銅シード層454が形成され、その後、例えばECD処理を使用して、銅充填材料456がウエハ402上に付着され、溝およびバイア空洞446および426をそれぞれ満たす。その後図7Nにおいて、ウエハ402は、例えばCMP処理を使用して平面化され、導電二重波状形状溝およびバイア構造が完成される。この後、1つあるいは複数の次に続く相互接続レベルあるいは層を、例えば上記あるいは他の単一および(あるいは)二重波状形状製造技術を使用して、図7Nの構造上に構築することができる。任意の数のこのような層あるいはレベルを、ウエハ402における導電形状特徴(例えば、ケイ化物構造406)への電気的結合を提供するために、本発明に従って製造することができる。
図8A−8Dにも参照すると、従来の二重波状形状構造、および、本発明によるその場でのエッチング止めエッチング処理(例えば、上記方法300)によって製造された二重波状形状相互接続構造の断面図が示されている。図8Aおよび8Cは、同じ従来の処理を施したウエハの異なる部分を示している。図8Aの部分は二重波状形状経路溝を示し、図8Cの部分は二重波状形状溝およびバイアを示している。図8Bおよび8Dは、本発明による同じ二重波状形状相互接続処理を施した他のウエハの異なる部分を示している。図8Bの部分は二重波状形状経路溝を示し、図8Dの部分は二重波状形状溝およびバイアを示している。
図8Aおよび8Bは、イメージ460および462をそれぞれ示し、イメージ460は、従来の処理により形成されたエッチング止めエッチング後の二重波状形状経路溝を示し、イメージ462は、本発明により形成された経路溝を示している。図8Aのイメージ460に見られるように、溝側壁の上端は丸くなっており、溝の底辺の角には極小さい溝の形成が見られる。加えて、図8Aにおける従来の溝の輪郭は弓なりになっている。本発明の二重波状形状処理は、それに対し、図8Bのイメージ462に見られるように、かなり改善された溝輪郭およびCDの均一性を提供する。さらに、図8Aおよび8Cにおける従来の処理によると、エッチング止めエッチングの間に上部表面および溝の底辺から材料が失われることとなるが、このことは、図8Bおよび8Dのウエハにおいては起こらない。
図8Cおよび8Dは、エッチング止めエッチング後の二重波状形状溝およびバイア構造の断面イメージ464および466をそれぞれ示し、図8Cのウエハは従来技術によって処理され、図8Dのウエハは本発明に従って処理されている。ここでも、図8Cのイメージ464における従来の二重波状形状構造においては、イメージ466におけるウエハよりも、溝およびバイアの上部が丸くなり側壁が弓なりになっている。加えて、図8Cのウエハにおいては、図8Dのウエハにおけるよりも、上部表面および溝の底面においてさらに誘電体の厚さが薄くなっている。上記二重波状形状の例は、バイア先行技術の場合において図示され記述されているが、この分野の技術者には、本発明の1つあるいは複数の態様を、溝先行二重波状形状処理において、そして他の二重波状形状方法において実施することができることが理解されるであろう。この点に関して、本発明および付随する請求項は、ここに説明される実施に制限されない。
本発明を、1つあるいは複数の実施に関して図示し記述してきたが、本明細書および付随する図面を読み理解するにあたり、この分野の技術者には、同等の変更および修正が考えられるであろう。特に、上記構成部品(アセンブリ、素子、回路、システム、その他)により実行される多様な機能に関して、これらの構成部品を記述するのに使用される用語(“手段”への言及も含む)は、他に指示がない限り、本発明のここに説明された例示的実施における機能を実行する開示された構造と構造的に同等でなくても、上記構成部品の特定の機能を実行する(例えば、つまり機能的に同等の)任意の構成部品に対応するよう意図されている。加えて、本発明の特定の特徴は、いくつかある実施の1つに関してのみ開示されてきたかもしれないが、このような特徴は、任意のあるいは特定の応用に対して望ましく有利となるように、他の実施の1つあるいは複数の他の特徴と組み合わせることができる。さらに、用語“含んでいる”、“含む”、“有している”、“有する”、“を持つ”あるいはこれらの変形が、詳細な説明および請求項のいずれかにおいて使用されている限りにおいて、これらの用語は、用語“含む”と同様に包括的であることを意味する。
以上の説明に関して更に以下の項を開示する。
(1)半導体ウエハにおける現存の相互接続構造の上に位置する単一波状形状相互接続構造を形成し、前記現存の相互接続構造における導電形状特徴への電気的結合を提供する方法であって、
エッチング止め層を前記現存の相互接続構造上に形成することと、
誘電体層を前記エッチング止め層上に形成することと、
前記誘電体層の一部分を通して空洞を形成し、前記エッチング止め層の一部分を露出することと、
前記空洞を前記エッチング止め層の一部分を通して拡張し、前記現存の相互接続構造における前記導電形状特徴を露出し、前記空洞の形成と拡張との間に実質的に何の処理工程も介在させないことと、
導電材料を前記空洞内に形成し、前記現存の相互接続構造における前記導電形状特徴への電気的結合を提供すること、
を含む前記方法。
(2)上記エッチング止め層の形成は、SiNあるいはSiCの層を上記現存の相互接続構造上に付着することを含む、第1項記載の方法。
(3)上記空洞の形成および上記空洞の拡張は同時に行われる、第1項記載の方法。
(4)上記空洞の形成および上記空洞の拡張は、単一エッチング・ツールにおいてその場で(in-situ;インサイチューで)実行される、第1項記載の方法。
(5)上記空洞の拡張は、上記空洞の形成の直後に実行される、第1項記載の方法。
(6)半導体ウエハにおける現存の相互接続構造の上に位置する二重波状形状相互接続構造を形成し、前記現存の相互接続構造における導電形状特徴への電気的結合を提供する方法であって、
エッチング止め層を前記現存の相互接続構造上に形成することと、
誘電体層を前記エッチング止め層上に形成することと、
前記誘電体層の一部分を通してバイア空洞を形成し、前記エッチング止め層の一部分を露出することと、
前記バイア空洞を前記エッチング止め層の一部分を通して拡張し、前記現存の相互接続構造における前記導電形状特徴を露出し、前記バイア空洞の形成と拡張との間に実質的に何の処理工程も介在させないことと、
溝空洞を前記誘電体層に形成することと、
導電材料を前記溝およびバイア空洞内に形成し、前記現存の相互接続構造における前記導電形状特徴への電気的結合を提供すること、
を含む前記方法。
(7)上記バイア空洞の上記誘電体層の上記部分を通しての形成は、バイア・エッチング処理を使用して、上記誘電体層の上記部分をエッチングし、上記バイア空洞を形成し上記エッチング止め層の上記部分を露出することを含み、上記バイア空洞の上記エッチング止め層の上記部分を通しての拡張は、エッチング止めエッチング処理を使用して、上記エッチング止め層の露出した部分をエッチングし、上記現存の相互接続構造における上記導電形状特徴を露出することを含む、第6項記載の方法。
(8)上記バイア・エッチング処理はRIEエッチングを含み、上記エッチング止めエッチング処理はRIEエッチングを含む、第7項記載の方法。
(9)上記バイア空洞の形成および上記バイア空洞の拡張は同時に実行される、第6項記載の方法。
(発明の要約)
溝あるいはバイア空洞を、単一波状形状相互接続構造に形成するための方法が開示されている。本方法は、誘電体層をエッチングして(112)そこに空洞を形成し下に位置するエッチング止め層を露出することと、露出したエッチング止め層をエッチングして空洞を拡張し、現存の相互接続構造における導電形状特徴を露出すること、を含み、本方法において、誘電体層の一部分のエッチングとエッチング止め層の露出した部分のエッチングは、これらの間に実質的に何の処理も介在させずに同時に実行される。また、バイア空洞を二重波状形状相互接続構造に形成する方法も開示されている。本方法は、現存の相互接続構造上にエッチング止め層を形成すること(104)と、エッチング止め層上に誘電体層を形成すること(106)と、誘電体層の一部分をエッチングし(114b)、バイア空洞を誘電体層に形成しエッチング止め層の一部分を露出することと、エッチング止め層をエッチングして(116)バイア空洞を拡張すること、を含み、本方法において、誘電体層はエッチング止め層のエッチングの間覆われている。
従来の単一波状形状バイア形成フローを示す、部分的側面立面断面図である。 従来の単一波状形状バイア形成フローを示す、部分的側面立面断面図である。 従来の単一波状形状バイア形成フローを示す、部分的側面立面断面図である。 従来の単一波状形状バイア形成フローを示す、部分的側面立面断面図である。 従来の単一波状形状バイア形成フローを示す、部分的側面立面断面図である。 従来の単一波状形状バイア形成フローを示す、部分的側面立面断面図である。 従来のバイア先行二重波状形状形成フローを示す、部分的側面立面断面図である。 従来のバイア先行二重波状形状形成フローを示す、部分的側面立面断面図である。 従来のバイア先行二重波状形状形成フローを示す、部分的側面立面断面図である。 従来のバイア先行二重波状形状形成フローを示す、部分的側面立面断面図である。 従来のバイア先行二重波状形状形成フローを示す、部分的側面立面断面図である。 従来のバイア先行二重波状形状形成フローを示す、部分的側面立面断面図である。 本発明の一態様による、単一波状形状相互接続構造を形成する例示的方法を示す流れ図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 本発明による例示的単一波状形状バイアあるいは溝の製造を示す部分的側面立面断面図である。 従来の処理により形成された単一波状形状バイアの、エッチング止めエッチング後の走査型電子顕微鏡(SEM)イメージの側面立面断面図である。 本発明により形成された単一波状形状バイアの、エッチング止めエッチング後のSEMイメージの側面立面断面図である。 本発明の他の態様により二重波状形状相互接続構造を形成する例示的方法を示す流れ図である。 本発明の他の態様により二重波状形状相互接続構造を形成する例示的方法を示す流れ図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 本発明による例示的バイア先行二重波状形状相互接続構造の製造を示す、部分的側面立面断面図である。 従来の処理により形成された二重波状形状経路溝の、エッチング止めエッチング後の側面立面断面イメージである。 本発明により形成された二重波状形状経路溝の、エッチング止めエッチング後の側面立面断面イメージである。 従来の処理により形成された二重波状形状溝およびバイア構造の、エッチング止めエッチング後の側面立面断面イメージである。 本発明により形成された二重波状形状溝およびバイア構造の、エッチング止めエッチング後の側面立面断面イメージである。
符号の説明
2 ウエハ
4 シリコン基板
5 導電ケイ化物構造
6 誘電体
7 タングステン接触
8 誘電体
10 導電形状特徴
12 エッチング止め層
14 誘電体層
16 BARC層
18 レジスト・マスク
20 臨界寸法
22 バイア・エッチング処理
24 バイア空洞
26 除灰処理
28 ウエット・クリーン処理
30 エッチング止めエッチング処理
32 除灰処理
34 ウエット・クリーン処理
52 ウエハ
54 シリコン基板
55 導電ケイ化物構造
56 誘電体
57 接触
58 誘電体
60 接触
62 エッチング止め層
64 誘電体層
66 BARC層
68 レジスト・マスク
70 臨界寸法
72 バイア・エッチング処理
74 バイア空洞
76 レジスト除灰処理
78 ウエット・クリーン処理
80 BARC層
82 溝レジスト・マスク
84 溝エッチング操作
86 溝空洞
90 除灰操作
92 ウエット・クリーン
94 エッチング止めエッチング処理
96 除灰操作
98 ウエット・クリーン
202 ウエハ
204 シリコン基板
205 導電ケイ化物構造
206 誘電体
207 タングステン接触
208 誘電体
210 導電形状特徴
212 エッチング止め層
213 付着処理
214 誘電体層
215 付着処理
216 有機BARC層
218 レジスト・マスク
220 開口
222 バイアBARCエッチング処理
224 バイア主エッチング処理
226 バイア空洞
230 バイア・オーバ・エッチング処理
232 エッチング止めエッチング
234 レジスト除灰処理
236 ウエット・クリーン操作
238 銅拡散障壁層
239 付着処理
240 銅シード層
241 ECD銅付着処理
242 銅
243 CMP平面化処理
244 エッチング止め層
245 誘電体層
246 銅拡散障壁層
247 銅シード層
248 ECD付着銅充填材料
402 ウエハ
404 シリコン基板
405 導電ケイ化物構造
406 誘電体
407 タングステン接触
408 誘電体
410 導電形状特徴
412 エッチング止め層
414 誘電体層
416 有機BARC層
418 レジスト・マスク
420 開口
422 バイアBARCエッチング処理
424 バイア主エッチング処理
426 バイア空洞
430 バイア・オーバ・エッチング処理
432 エッチング止めエッチング
434 レジスト除灰処理
436 ウエット・クリーン操作
438 BARC層
440 溝レジスト・マスク
442 溝BARCエッチング処理
444 RIE溝エッチング処理
446 溝空洞
448 除灰処理
450 ウエット・クリーン処理
452 銅拡散障壁層
454 銅シード層
456 銅充填材料

Claims (1)

  1. 半導体ウエハにおける現存の相互接続構造の上に位置する単一波状形状相互接続構造を形成し、前記現存の相互接続構造における導電形状特徴への電気的結合を提供する方法であって、
    エッチング止め層を前記現存の相互接続構造上に形成することと、
    誘電体層を前記エッチング止め層上に形成することと、
    前記誘電体層の一部分を通して空洞を形成し、前記エッチング止め層の一部分を露出することと、
    前記空洞を前記エッチング止め層の一部分を通して拡張し、前記現存の相互接続構造における前記導電形状特徴を露出し、前記空洞の形成と拡張との間に実質的に何の処理工程も介在させないことと、
    導電材料を前記空洞内に形成し、前記現存の相互接続構造における前記導電形状特徴への電気的結合を提供すること、
    を含む前記方法。
JP2003405989A 2002-12-05 2003-12-04 単一波状形状バイアあるいは溝空洞の形成方法および二重波状形状バイア空洞の形成方法 Pending JP2004186697A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/313,491 US7214609B2 (en) 2002-12-05 2002-12-05 Methods for forming single damascene via or trench cavities and for forming dual damascene via cavities

Publications (1)

Publication Number Publication Date
JP2004186697A true JP2004186697A (ja) 2004-07-02

Family

ID=32312287

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003405989A Pending JP2004186697A (ja) 2002-12-05 2003-12-04 単一波状形状バイアあるいは溝空洞の形成方法および二重波状形状バイア空洞の形成方法

Country Status (3)

Country Link
US (1) US7214609B2 (ja)
EP (1) EP1427012A3 (ja)
JP (1) JP2004186697A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006057236A1 (ja) * 2004-11-25 2006-06-01 Tokyo Electron Limited 基板処理方法および半導体装置の製造方法
JP2008041783A (ja) * 2006-08-02 2008-02-21 Nec Electronics Corp 半導体装置の製造方法
US7781892B2 (en) 2005-12-22 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of fabricating same

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6812130B1 (en) * 2000-02-09 2004-11-02 Infineon Technologies Ag Self-aligned dual damascene etch using a polymer
US6930256B1 (en) * 2002-05-01 2005-08-16 Amkor Technology, Inc. Integrated circuit substrate having laser-embedded conductive patterns and method therefor
US7670962B2 (en) 2002-05-01 2010-03-02 Amkor Technology, Inc. Substrate having stiffener fabrication method
US7548430B1 (en) 2002-05-01 2009-06-16 Amkor Technology, Inc. Buildup dielectric and metallization process and semiconductor package
US9691635B1 (en) 2002-05-01 2017-06-27 Amkor Technology, Inc. Buildup dielectric layer having metallization pattern semiconductor package fabrication method
KR100542388B1 (ko) * 2003-07-18 2006-01-11 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US10811277B2 (en) 2004-03-23 2020-10-20 Amkor Technology, Inc. Encapsulated semiconductor package
US11081370B2 (en) 2004-03-23 2021-08-03 Amkor Technology Singapore Holding Pte. Ltd. Methods of manufacturing an encapsulated semiconductor device
US20050245074A1 (en) * 2004-04-29 2005-11-03 Ping Jiang In-situ etch-stop etch and ashing in association with damascene processing in forming semiconductor interconnect structures
US7145238B1 (en) * 2004-05-05 2006-12-05 Amkor Technology, Inc. Semiconductor package and substrate having multi-level vias
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US8826531B1 (en) 2005-04-05 2014-09-09 Amkor Technology, Inc. Method for making an integrated circuit substrate having laminated laser-embedded circuit layers
US7253097B2 (en) * 2005-06-30 2007-08-07 Chartered Semiconductor Manufacturing, Ltd. Integrated circuit system using dual damascene process
KR100680499B1 (ko) * 2005-11-02 2007-02-08 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
KR100640430B1 (ko) * 2005-12-14 2006-11-01 동부일렉트로닉스 주식회사 듀얼 다마신 방법 및 이를 이용한 구리배선막 형성방법
US7589398B1 (en) 2006-10-04 2009-09-15 Amkor Technology, Inc. Embedded metal features structure
US7752752B1 (en) 2007-01-09 2010-07-13 Amkor Technology, Inc. Method of fabricating an embedded circuit pattern
US20080299718A1 (en) * 2007-06-01 2008-12-04 Texas Instruments Incorporated Damascene process having retained capping layer through metallization for protecting low-k dielectrics
US7812455B2 (en) * 2008-06-16 2010-10-12 Intel Corporation Interconnect in low-k interlayer dielectrics
JP5407340B2 (ja) * 2009-01-07 2014-02-05 富士通セミコンダクター株式会社 配線の形成方法
US8872329B1 (en) 2009-01-09 2014-10-28 Amkor Technology, Inc. Extended landing pad substrate package structure and method
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN104103537B (zh) * 2013-04-02 2016-12-28 中芯国际集成电路制造(上海)有限公司 有效控制过刻蚀量的方法
US9093387B1 (en) * 2014-01-08 2015-07-28 International Business Machines Corporation Metallic mask patterning process for minimizing collateral etch of an underlayer
US10290535B1 (en) * 2018-03-22 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication with a passivation agent
US10607922B1 (en) 2018-10-24 2020-03-31 International Business Machines Corporation Controlling via critical dimension during fabrication of a semiconductor wafer
CN114512597A (zh) 2020-11-16 2022-05-17 联华电子股份有限公司 半导体元件及其制作方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985746A (en) 1996-11-21 1999-11-16 Lsi Logic Corporation Process for forming self-aligned conductive plugs in multiple insulation levels in integrated circuit structures and resulting product
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6057227A (en) 1997-06-23 2000-05-02 Vlsi Technology, Inc. Oxide etch stop techniques for uniform damascene trench depth
US6281585B1 (en) 1997-06-30 2001-08-28 Philips Electronics North America Corporation Air gap dielectric in self-aligned via structures
US5891799A (en) 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6114235A (en) * 1997-09-05 2000-09-05 Advanced Micro Devices, Inc. Multipurpose cap layer dielectric
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
TW367578B (en) * 1998-04-18 1999-08-21 United Microelectronics Corp Manufacturing method for unlanded via
TW377502B (en) 1998-05-26 1999-12-21 United Microelectronics Corp Method of dual damascene
US6221759B1 (en) 1998-06-19 2001-04-24 Philips Electronics North America Corp. Method for forming aligned vias under trenches in a dual damascene process
US6323118B1 (en) 1998-07-13 2001-11-27 Taiwan Semiconductor For Manufacturing Company Borderless dual damascene contact
US6245663B1 (en) * 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
US6319815B1 (en) * 1998-10-21 2001-11-20 Tokyo Ohka Kogyo Co., Ltd. Electric wiring forming method with use of embedding material
US6150256A (en) 1998-10-30 2000-11-21 International Business Machines Corporation Method for forming self-aligned features
US6156643A (en) * 1998-11-06 2000-12-05 Advanced Micro Devices, Inc. Method of forming a dual damascene trench and borderless via structure
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6270634B1 (en) * 1999-10-29 2001-08-07 Applied Materials, Inc. Method for plasma etching at a high etch rate
US6323121B1 (en) 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US20030008490A1 (en) 2001-07-09 2003-01-09 Guoqiang Xing Dual hardmask process for the formation of copper/low-k interconnects
US6410426B1 (en) 2001-07-09 2002-06-25 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006057236A1 (ja) * 2004-11-25 2006-06-01 Tokyo Electron Limited 基板処理方法および半導体装置の製造方法
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US7781892B2 (en) 2005-12-22 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of fabricating same
JP2008041783A (ja) * 2006-08-02 2008-02-21 Nec Electronics Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
EP1427012A2 (en) 2004-06-09
US7214609B2 (en) 2007-05-08
EP1427012A3 (en) 2008-08-06
US20040110369A1 (en) 2004-06-10

Similar Documents

Publication Publication Date Title
JP2004186697A (ja) 単一波状形状バイアあるいは溝空洞の形成方法および二重波状形状バイア空洞の形成方法
US9245792B2 (en) Method for forming interconnect structures
US7910477B2 (en) Etch residue reduction by ash methodology
US6972259B2 (en) Method for forming openings in low dielectric constant material layer
KR100372467B1 (ko) 반도체장치형성방법및집적회로구조형성방법
US6268283B1 (en) Method for forming dual damascene structure
US7365009B2 (en) Structure of metal interconnect and fabrication method thereof
US6187672B1 (en) Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
US6187663B1 (en) Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
US6011311A (en) Multilevel interconnect structure for integrated circuits
US8404581B2 (en) Method of forming an interconnect of a semiconductor device
US7511349B2 (en) Contact or via hole structure with enlarged bottom critical dimension
US7470616B1 (en) Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US20020155693A1 (en) Method to form self-aligned anti-via interconnects
CN114093812A (zh) 使用光刻-冷冻-光刻-蚀刻工艺的细长接触件
US20050146040A1 (en) Metal spacer in single and dual damascene processing
US20120129337A1 (en) Dual damascene process
JP2009224816A (ja) 半導体装置のマスク層および二重ダマシーン相互接続構造
JP2009135518A (ja) 相互接続の製造方法
US20030181034A1 (en) Methods for forming vias and trenches with controlled SiC etch rate and selectivity
CN110890319A (zh) 制造半导体器件的互连线的方法
US20020098673A1 (en) Method for fabricating metal interconnects
US9431292B1 (en) Alternate dual damascene method for forming interconnects
KR100614782B1 (ko) 이중 다마신 구조를 포함하는 집적회로 제조방법 및 집적회로
US6900123B2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control